Prof. Dr.-Ing. Holger Vogt

Elektronische Bauelemente und Schaltungen
University of Duisburg-Essen/Fraunhofer-Institut für Mikroelektronische Schaltungen und Systeme IMS

Contact

Hub
  • Measurement concept to reduce environmental impact in direct time-of-flight LiDAR sensors
    Haase, J.F. and Buchner, A. and Grollius, S. and Ruskowski, J. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 11288 (2020)
    For some applications, a reliable detection of the distance of objects is necessary, even under strong environmental conditions. Commonly this includes sunlight, but fog, rain and snow cause interferences as well. For fast and reliable threedimensional monitoring of the environment, LiDAR is a key sensor technology. A light source, often in the near-infrared, emits a short light pulse and the time-of-flight of the photons reflected by an object is measured. This allows to calculate the distance by using the speed of light. In order to be able to ensure reliable detection despite possible interferences, we have set up a new measurement concept based on the existing time-gating. Thus, an area is covered by step-wise shifting of the measuring window. By accumulating different delays, the true distance to the object can be determined. An advantage of the method is that no information about the approximate position of the object has to be known in advance. In this paper we present measurement results with this method, which were taken in different environmental conditions. The method can be implemented in addition to already existing concepts and can therefore supplement them. © 2020 SPIE.
    view abstract10.1117/12.2546021
  • Modeling and Characterization of Adapted 3ω-Method for Thermal Conduction Measurement of Thermal Radiation Sensors
    Verheyen, E. and Vogt, H.
    IEEE Sensors Letters 4 (2020)
    In this letter, a thermal conduction measurement method for thermal radiation sensors, such as vacuum-packaged bolometers, is presented. The idea of the method is deduced from the classical 3ω-method proposed by Cahill [1]. Here, the measurement geometry is a complete sensor geometry, which is suspended from the substrate by thermal isolation legs. The most relevant performance factor for such a sensor is the thermal isolation; this is why the thermal conduction measurement is so important to design better sensors. Additionally, the thermal loss mechanisms need to be investigated because the sensors are normally operated at the thermal radiation limit. Therefore, these loss mechanisms are modeled with an electrothermal equivalent circuit of the whole measurement setup. The measurement method is then used to measure and derive the thermal conduction of known single microbolometer structures. © 2017 IEEE.
    view abstract10.1109/LSENS.2020.3002799
  • Monolithic Integration and Analysis of Vertical, Partially Encapsulated Nanoelectrode Arrays
    Allani, S. and Jupe, A. and Staufer, O. and Seidl, K. and Vogt, H.
    Journal of Microelectromechanical Systems 29 (2020)
    This study reports on the development of vertical, partially encapsulated nanoelectrodes for electrically contacting the interior of electrogenic cells with microelectronics. Intracellular electrical stimulation and recording with single cell resolution enables new insights into the electrophysiology of cells embedded in a complex multicellular network, providing detailed understanding of fundamental processes affecting cell to cell communication and thereby paving the way for novel applications including pharmacological studies and other neuromodulation techniques like focused ultrasound and electroceuticals. In order to minimize the influence of the measurement system, an approach based on nano-sized hollow electrodes, achieving an adhesion based intracellular access, is used. The focus of the presented work is on the novel fabrication technology and the characterization of the resulting nanoelectrodes. In CMOS compatible processes, the hollow geometry is achieved using a sacrificial layer technique combining deep reactive ion etching and atomic layer deposition of Ru. For decoupling the extracellular milieu, a partial passivation of the nanoelectrodes by Ta2O5 is realized. The monolithic integration allows an application specific fine-tuning of geometry and placement of the nanoelectrodes. A discrete microelectrode array was designed to electrically and electrochemically characterize the nanoelectrodes. Resistance measurements, cyclic voltammetry and electrochemical impedance spectroscopy show the feasibility of the developed electrodes as an electronic interface to electrochemical fluids. Specifically, an electrode resistance of 2.92 kΩ and charge delivery capacitance of 748.13μ Ccm2 were observed. Confocal microscopy analyses of neural cells interfaced with the nanoelectrodes indicate an adhesion based intracellular access as well as biostability and biocompatibility. [2020-0224]. © 1992-2012 IEEE.
    view abstract10.1109/JMEMS.2020.3015836
  • Scalable nanotube-microbolometer technology with pixel pitches from 12 down to 6 µm
    Michel, M. and Weyers, S. and Weiler, D. and Blaeser, S. and Zakizade, E. and Hochschulz, F. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 11537 (2020)
    Uncooled FIR-imagers decreased in pixel pitch from latest state-of-the-art value of 17 µm to 10 µm. Following this trend of a reduction of pixel size, Fraunhofer IMS provides a manufacturing process for FIR-imagers (IRFPAs) based on a scalable microbolometer technology. Beside conventional approaches of a thermal isolation of microbolometer membranes realized by lateral legs, Fraunhofer IMS developed a manufacturing process for a thermal isolation realized by nanotubes. To demonstrate the scalability of the nanotube-microbolometers the nanotube contact is applied to microbolometer membranes with 12, 10, 8 and 6 µm pixel size on top of a 17 µm digital readout integrated circuit (ROIC). The arrays are sealed by a chip-scale vacuum package to evaluate the microbolometers' performance by means of a complete IRFPA. Quantitative measurement results for the responsivity as well as qualitative test pictures of the 12, 10 and 8 µm nanotube-microbolometers will be presented. A direct visual comparison in a test scene demonstrates no obvious decrease in sensitivity between 12 and 8 µm. Only at 6 µm pixel size a reduced sensitivity is observed. In summary, a fully working uncooled IRFPA with QQVGA resolution based on a 6 µm nanotube-microbolometer technology is presented here. The scalability of the nanotube-microbolometer technology from state-of-the-art pixel sizes down to 6 µm is demonstrated. © 2020 SPIE.
    view abstract10.1117/12.2573895
  • Characterization of the Charge Transfer in an Enhanced Pinned Photodiode with a Collection Gate
    Girgenrath, L. and Hofmann, M. and Kühnhold, R. and Vogt, H.
    Springer Series in Optical Sciences 222 (2019)
    An implantation scheme which enhances the readout speed of a silicon pinned photodiode (PPD) with large pixel length is presented. A special type of pinned photodiode which was developed by the Fraunhofer IMS in Duisburg, Germany for Time-of-Flight distance measurement applications is taken as the starting point. The sensor which was fabricated in a standard 0.35 $$\upmu \text {m}$$ CMOS process and the optimized design introduces a second gate, the Collection Gate (CG), to the pinned photodiode which will be analysed. Based on this PPD, a second well implantation is described which improves the electron transfer. Furthermore, the influence of the Collection Gate on the electron transfer is described. The CG can alter the conduction band energy of the PPD. It is shown that the barrier at the interface between well and GC can be reduces by applying a voltage to the CG. The second implantation in combination with the CG creates a designated electron path which introduces the possibility to enlarge the PPD without affecting the performance of the sensor. © 2019, Springer Nature Switzerland AG.
    view abstract10.1007/978-3-030-12692-6_9
  • Fabrication of Partly Encapsulated Vertical Nanoelectrodes for an Intracellular Microelectrode Array
    Allani, S. and Jupe, A. and Kappert, H. and Vogt, H.
    2019 20th International Conference on Solid-State Sensors, Actuators and Microsystems and Eurosensors XXXIII, TRANSDUCERS 2019 and EUROSENSORS XXXIII (2019)
    In this work, a concept and proof of principle regarding a fabrication technique for vertical nanoelectrodes is presented. CMOS-compatible processes for the fabrication of three-dimensional tubes which are partly encapsulated by an insulation layer are conceived. An extended sacrificial layer technique using deep reactive ion etching (DRIE) and atomic layer deposition (ALD) of encapsulation and electrode material was developed. Additional spacing techniques for tapering of electrode diameter and tip post-lithographically are investigated. Finally, free-standing nanoelectrodes and test structures were produced. The resulting tunable nanoelectrode array can lead to a novel device for a bidirectional interface between integrated circuits and living cells. © 2019 IEEE.
    view abstract10.1109/TRANSDUCERS.2019.8808711
  • Hermeticity of SI1-XGEX Diaphragms for the Fabrication of a Capacitive Post-Cmos Pressure Sensor
    Walk, C. and Netaev, A. and Wiemann, M. and Gortz, M. and Vogt, H. and Mokwa, W. and Seidl, K.
    2019 20th International Conference on Solid-State Sensors, Actuators and Microsystems and Eurosensors XXXIII, TRANSDUCERS 2019 and EUROSENSORS XXXIII (2019)
    In this work, the hermeticity of diaphragm structures is investigated and optimized. The diaphragms are developed for the monolithic post-CMOS integration of capacitive pressure sensors. Si1-XGeX is used as diaphragm material and was deposited at temperatures below 400 °C.The hermeticity of the diaphragms was evaluated at a He pressure of 1800 hPa and in a temperature range from 50 °C to about 100 °C. The diffusion coefficients were determined by measuring the changes of diaphragm deflections due to He-diffusion inside the cavity.In the CVD process of Si1-XGeX cover layer on a polycrystalline p+Si1-XGeX diaphragm for closing the etch access holes, a variation of the SiH4 and GeH4 gas flows at a substrate temperature of about 380 °C was investigated regarding the selectivity of the layer growth on different surfaces (p+Si1-XGeX, Si, and SiO2). The selectivity of the layer growth against Si and SiO2 increases with the GeH4 ratio in the process gas flow. With a pure GeH4 gas flow, an optimisation of the parameters selectivity, He-diffusion and intrinsic stress of the Si1-XGeX cover layer was found. © 2019 IEEE.
    view abstract10.1109/TRANSDUCERS.2019.8808725
  • Measurement concept for direct time-of-flight sensors at high ambient light
    Haase, J.F. and Beer, M. and Schrey, O. and Ruskowski, J. and Brockherde, W. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 10926 (2019)
    A fast and reliable three dimensional monitoring of the environment is indispensable for robotics, automation engineering or autonomous driving. For these applications LiDAR is a key sensor technology. Normally a light source in the near infrared range is used, which is invisible to human eyes. High ambient light compared to the laser source intensity is a major problem for these systems. Therefore, a measurement concept to reduce the impact of ambient light is necessary. In this paper we present a measurement concept in which the full distance range is scanned and the probability to detect events from far objects is improved. The general problem is that a photon of the background illumination can be detected instead of the reflected laser signal which stops the measurement. The concept allows us to detect the received laser pulse buried in the superimposed background light easier and improve the measurement quality. This is possible due to the delayed start of the measurement and thus the selection of different measurement windows in which an earlier detection of the laser generated events is accessible. In consequence, the probability for receiving an unwanted ambient photon is reduced. For this technique no prior information about the object conditions or its rough distance is required and it can be applied in all situations of the direct time-of-flight measurement to cope with high ambient light. Hence it allows a reliable distance measurement at various ambient and target conditions. © 2019 SPIE.
    view abstract10.1117/12.2509779
  • Mechanical Test Structures for the Determination of Normal Stress in Multilayer Freestanding MEMS-Membranes
    Michel, M. and Vogt, H.
    PRIME 2019 - 15th Conference on Ph.D. Research in Microelectronics and Electronics, Proceedings (2019)
    Understanding the mechanical behavior of free standing membranes is a point of common interest for MEMS structures, where a certain distance or deflection of a cantilever or membrane refers directly to the detectors performance. A material system with different types of basic MEMS materials like doped silicon as well as a conducting and passivation layer was characterized. Mechanical stress was measured directly after deposition and at the freestanding membranes itself. It is found out, that the mechanical stress of the investigated material systems decreases up to two orders of magnitude due to relaxation between material deposition and releasing membranes from substrate. © 2019 IEEE.
    view abstract10.1109/PRIME.2019.8787833
  • Modeling of Thermal Conductivity for a CMOS-Compatible MEMS-ROIC Contact by TiN Nanotubes
    Michel, M. and Vogt, H.
    IEEE Transactions on Electron Devices 66 (2019)
    Size reduction in microelectromechanical systems (MEMS) leads to more complex behavior of physical parameters affected by quantum mechanical effects. Besides specific electrical resistance, thermal conductivity is a characteristic parameter for designing application-specific MEMS. Nanotubes are able to realize an electrical contact between a sensor element and a CMOS substrate while providing sufficient thermal isolation. A model for the prediction of thermal conductivity of nanotubes, compounded of alloys and demonstrated for titanium nitride, is presented here. The influence of the crystal structure on the mean free path is considered to limit electron and phonon mobility in thin layer geometries. A 3-D-2-D transition in the density of states of electrons and phonons is taken into account because feature sizes today are below the boundary scattering regime. We show that the dominating thermal conductance mechanism varies between phonons and electrons as a function of film thickness in TiN. © 1963-2012 IEEE.
    view abstract10.1109/TED.2019.2919766
  • Optimization of the dielectric layer for electrowetting on dielectric
    Türk, S. and Schug, A. and Viga, R. and Jupe, A. and Vogt, H.
    Integration 67 (2019)
    In this work the minimum actuation voltage Vmin for droplet actuation with electrowetting on dielectric (EWOD) is analyzed. At first, the theoretical background of drop transport with EWOD is shown. Then the impact of thin dielectric films deposited with atomic layer deposition (ALD) and super-hydrophobic layers on the minimum required actuation voltage for drop transport are presented. To this, contact angles are measured on both Al2O3 and fluorinated DLC each with a drop of water. For verify the hypothesis, the analytically calculated values for the minimum actuation voltage Vmin are compared with numerical simulation results using COMSOL Multiphysics®. The results show that the actuation voltage value from the simulation is lower than calculated analytically. © 2019 Elsevier B.V.
    view abstract10.1016/j.vlsi.2019.03.004
  • Post-CMOS 3D-Integration of a Nanopellistor
    Munchenberger, F.M. and Dreiner, S. and Kappert, H. and Vogt, H.
    PRIME 2019 - 15th Conference on Ph.D. Research in Microelectronics and Electronics, Proceedings (2019)
    To further optimize micro pellistors and reduce the required chip area, one possibility is to fabricate the sensor on top of the integrated circuit (IC). Therefore, a sacrificial layer process developed by the Fraunhofer IMS combining deep reactive ion etching (DRIE) and atomic layer deposition (ALD) is modified. First fundamentals of pellistors and Joule heating are described. Then simulations to determine ideal heater shapes are presented and an approach for a process to fabricate pellistors on top of an IC is introduced. © 2019 IEEE.
    view abstract10.1109/PRIME.2019.8787749
  • With PECVD Deposited Poly-SiGe and Poly-Ge Forming Contacts Between MEMS and Electronics
    Wang, Q. and Vogt, H.
    Journal of Electronic Materials 48 (2019)
    As a structural layer for microelectromechanical systems, in situ doped polycrystalline silicon germanium (poly-SiGe) can be deposited directly through openings of the uppermost dielectric onto the underlying metal interconnects to achieve electronic connections to the CMOS electronics. Differently from the existing works where poly-SiGe was deposited with the low pressure chemical vapor deposition (LPCVD), the plasma enhanced chemical vapor deposition (PECVD) method to produce poly-SiGe films forming the structural layer and the electrical contacts has been deployed. Compared with the films deposited with LPCVD, the as-deposited PECVD films formed contacts yielding low resistivity without any extra processing, such as precleaning and annealing. To investigate the contact resistance of poly-SiGe and polycrystalline germanium (poly-Ge) on titanium, Kelvin structures were fabricated and characterized. The substrate temperatures during the deposition were as low as 375°C for poly-SiGe and 340°C for poly-Ge, and low specific contact resistances of 3.2 × 10−6 Ω cm2 and 8.0 × 10−6 Ω cm2 respectively. This is expected to arise from the additionally acquired activation energies of ions from the plasma during PECVD. It is possibly due to the additional energies from the plasma, a titanium germanosilicide interfacial layer between poly-SiGe (or poly-Ge) and titanium (Ti) can be generated without high temperature processes. A metal stack was employed, to ensure a good adhesion, to block the diffusion and serve as an anti-reflection layer at the lithography. © 2019, The Minerals, Metals & Materials Society.
    view abstract10.1007/s11664-019-07561-4
  • Decreasing the Actuation Voltage in Electrowetting on Dielectric with Thin and Micro-Structured Dielectric
    Turk, S. and Verheyen, E. and Viga, R. and Allani, S. and Jupe, A. and Vogt, H.
    PRIME 2018 - 14th Conference on Ph.D. Research in Microelectronics and Electronics (2018)
    This work presents the analysis of the minimum actuation voltage Vmin for droplet actuation with electrowetting on dielectric (EWOD). First, the fundamentals of electrowetting are described. In the second chapter, the impact on the actuation voltage in EWOD is shown by a dielectric deposited with atomic layer deposition (ALD) and micro-structured surface. In the last part, results of a simulation with COMSOL MultiphysicsOR are presented to verify the hypothesis and a short discussion about the results is given. © 2018 IEEE.
    view abstract10.1109/PRIME.2018.8430346
  • Development of a piezoelectric flexural plate-wave (FPW) biomems-sensor for rapid point-of-care diagnostics
    Jupe, A. and Livshits, P. and Kahnert, S. and Figge, M. and Mross, S. and Goertz, M. and Kappert, H. and Vogt, H. and Goehlich, A.
    NATO Science for Peace and Security Series A: Chemistry and Biology (2018)
    A high rate of post-neonatal deaths is due to a Respiratory Syncytial Virus (RSV). An early diagnosis with the accurate patient stratification and forecast of disease outcome is vital for an effective treatment. Common diagnostics for the identification of unknown pathogens require large sample volumes and are laborious. In this work, a novel piezoelectric FPW Bio-MEMS sensor based on the frequency shift of a resonating membrane due to binding of an additional mass was developed. The experimental results show that the sensor, a critical part of point-of-care devices, can detect with a high degree of accuracy RSV chemokines in complex media and is much faster than standard methods. © 2018, Springer Science+Business Media B.V., part of Springer Nature.
    view abstract10.1007/978-94-024-1304-5_15
  • Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3
    Dogan, Ö. and Buschhausen, A. and Walk, C. and Mokwa, W. and Vogt, H.
    IOP Conference Series: Materials Science and Engineering 350 (2018)
    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes. © Published under licence by IOP Publishing Ltd.
    view abstract10.1088/1757-899X/350/1/012001
  • Digital uncooled IRFPAs based on microbolometers with 17 μm and 12 μm pixel pitch
    Weiler, D. and Hochschulz, F. and Busch, C. and Stein, M. and Michel, M.D. and Kuhl, A. and Lerch, R. and Petermann, M. and Geruschke, T. and Blaeser, S. and Weyers, S. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 10795 (2018)
    This paper presents the results of high-performance infrared detectors (IRFPA-InfraRed Focal Plane Array) based on uncooled microbolometers with 17 μm and 12 μm pixel pitch and a chip-scale-package as the vacuum package developed and fabricated by Fraunhofer-IMS. Like CMOS image sensor IRFPAs also have been following the trend of reducing the pixel size in order to reduce the costs and increase the optical resolution. For microbolometer based uncooled IRFPA the pixel pitch has been reduced from 35 μm pixel pitch ten years ago via 25 μm and 17 μm down to 12 μm. Fraunhofer IMS has developed digital IRFPAs featuring a direct conversion of the microbolometer's resistance into a 16 bit value by the use of massively parallel on-chip Sigma-Delta-ADCs achieving a high scene temperature dynamic range of more than 300 K and a very low NETD-value below 50 mK. Due to a broad-band antireflection coating the digital IRFPAs achieve a high sensitivity in the LWIR (wavelength 8 μm to 14 μm) and MWIR (wavelength 3 μm to 5 μm) range. In this paper the microbolometer, the vacuum-packaging, the architecture of the readout electronics, and the electro-optical performance characterization will be presented. © 2018 SPIE.
    view abstract10.1117/12.2503423
  • High-performance uncooled digital 17 μm QVGA-IRFPA-using microbolometer based on amorphous silicon with massively parallel Sigma-Delta-ADC readout
    Weiler, D. and Hochschulz, F. and Busch, C. and Stein, M. and Michel, M.D. and Würfel, D. and Lerch, R. and Petermann, M. and Geruschke, T. and Blaeser, S. and Weyers, S. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 10624 (2018)
    This paper presents the results of a high-performance digital QVGA-IRFPA based on uncooled microbolometers with a pixel-pitch of 17 μm and a chip-scale-package as the vacuum package developed and fabricated by Fraunhofer-IMS. Due to a direct conversion of the microbolometer's resistance into a 16 bit value by the use of massively parallel on-chip Sigma-Delta-ADCs a high scene temperature dynamic range of more than 300 K and a very low NETD-value below 50 mK is achieved. Due to a broad-band antireflection coating the digital 17 μm QVGA-IRFPA achieves a high sensitivity in the LWIR (wavelength 8 μm to 14 μm) and MWIR (wavelength 3 μm to 5 μm) range. In this paper the microbolometer, the vacuum-packaging, the architecture of the readout electronics, and the electro-optical performance characterization will be presented. © 2018 SPIE.
    view abstract10.1117/12.2304866
  • Multi object detection in direct Time-of-Flight measurements with SPADs
    Haase, J.F. and Beer, M. and Ruskowski, J. and Vogt, H.
    PRIME 2018 - 14th Conference on Ph.D. Research in Microelectronics and Electronics (2018)
    We present several contributions of our test system to detect multiple targets with the direct time-of-flight technique. With a precise time-to-digital-converter it is possible to capture the time-of-flight of a short light pulse reflected by a target with high temporal resolution. Based on this technique we can relate the single events to its resulting distance and separate the different objects. © 2018 IEEE.
    view abstract10.1109/PRIME.2018.8430352
  • Analytical model for thin-film SOI PIN-diode leakage current
    Schmidt, A. and Dreiner, S. and Vogt, H. and Goehlich, A. and Paschen, U.
    Solid-State Electronics 130 (2017)
    An analytical model for the thin-film silicon-on-insulator pin-diode leakage current is presented. Particularly the back-gate potential influence on the leakage current is addressed. The two-dimensional Poisson equation is simplified and then solved including the influence of the back-gate potential. Subsequently the analytical model is verified by comparison with numerical simulation and measurements. For the verification of the model the dependence on the back-gate potential, reverse voltage, device geometry, doping concentration and -polarity is considered. In this procedure the interface recombination velocity is used as fitting parameter. The model verification shows an accurate modeling of the leakage current at full depletion in combination with a back-gate potential dependence. The usage of the model is limited to back-gate and reverse potentials close to full depletion state of the pin-diode. © 2017 Elsevier Ltd
    view abstract10.1016/j.sse.2017.01.004
  • Automating wafer-level test of uncooled infrared detectors using wafer-prober
    Makhlouf, M. and Goller, D. and Gendrisch, L. and Kolnsberg, S. and Vogt, F. and Utz, A. and Weiler, D. and Vogt, H.
    2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design, IOLTS 2017 (2017)
    Fraunhofer IMS develops and fabricates far-infrared focal plane arrays (IRFPA) using microbolometers with a pixel pitch of 17μm technology realized on top of a 0.35 μm CMOS readout integrated circuit (ROIC). The microbolometers are encapsulated by a Chip-Scale-Package (CSP) to ensure a high quality vacuum level. The CSP is realized by placing an infrared transparent lid above a solder frame surrounding the microbolometer array. To concept a wafer-level test it is very challenging to implement highly accurate electrical stimuli and a far infrared radiation source (black body) while affecting the wafer-prober handling by a non-flat wafer surface, due to the infrared transparent lids of the CSP. Accordingly, wafer-level test has been developed based on a PC which controls, by using a test program, the wafer handling of a prober, the electrical stimuli of a test hardware, and the far-infrared radiation such as the optical stimuli. Thus, the most important electro-optical parameters of IRFPAs will be measured at wafer-level: Noise Equivalent Temperature Difference (NETD), responsivity, and the percentage of the defective pixels. © 2017 IEEE.
    view abstract10.1109/IOLTS.2017.8046170
  • CMOS SiPM with integrated amplifier
    Schwinger, A. and Brockherde, W. and Hosticka, B.J. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 10100 (2017)
    The integration of silicon photomultiplier (SiPM) and frontend electronics in a suitable optoelectronic CMOS process is a promising approach to increase the versatility of single-photon avalanche diode (SPAD)-based singlephoton detectors. By integrating readout amplifiers, the device output capacitance can be reduced to minimize the waveform tail, which is especially important for large area detectors (>10 × 10mm2). Possible architectures include a single readout amplifier for the whole detector, which reduces the output capacitance to 1:1 pF at minimal reduction in detector active area. On the other hand, including a readout amplifier in every SiPM cell would greatly improve the total output capacitance by minimizing the influence of metal routing parasitic capacitance, but requiring a prohibitive amount of detector area. As tradeoff, the proposed detector features one readout amplifier for each column of the detector matrix to allow for a moderate reduction in output capacitance while allowing the electronics to be placed in the periphery of the active detector area. The presented detector with a total size of 1.7 ♦ 1.0mm2 features 400 cells with a 50 μm pitch, where the signal of each column of 20 SiPM cells is summed in a readout channel. The 20 readout channels are subsequently summed into one output channel, to allow the device to be used as a drop-in replacement for commonly used analog SiPMs. © 2017 SPIE.
    view abstract10.1117/12.2252516
  • Study of enzyme sensors with wide, adjustable measurement ranges for in-situ monitoring of biotechnological processes
    Mross, S. and Zimmermann, T. and Zenzes, S. and Kraft, M. and Vogt, H.
    Sensors and Actuators, B: Chemical 241 (2017)
    We report on a study of enzymatic glucose and lactate sensors for measurements of wide concentration ranges from 1 mM up to 600 mM and 900 mM, respectively, in biotechnological processes. Diffusion-limiting polyurethane membranes were used to extend the linear measurement range and the influence of two fabrication parameters on membrane properties and sensor performance evaluated. The polymer concentration was varied between 4% and 10% and the ratio of the solvents tetrahydrofuran and dimethylformamide between 9:1 and 1:9. Surface morphology and permeability of the membranes and the linear measurement range of membrane-covered enzyme sensors were determined. A distinct relationship between the different results was found. The sensors retained their functionality after being sterilized using gamma and electron beam irradiation. The long-term performance of the sensors was evaluated and good performance in the glucose monitoring of a culture of Saccharomyces cerevisiae over 13 days was shown. © 2016 Elsevier B.V.
    view abstract10.1016/j.snb.2016.10.054
  • Tapering of nanoelectrodes for an intracellular contact via a double hard mask technique
    Allani, S. and Jupe, A. and Figge, M. and Goehlich, A. and Vogt, H.
    PRIME 2017 - 13th Conference on PhD Research in Microelectronics and Electronics, Proceedings (2017)
    To realize an intracellular contact between nanoelectrodes and cells, a sufficient small electrode diameter is needed [1]. A sacrificial layer process developed by the Fraunhofer IMS using deep reactive ion etching and atomic layer deposition [2] is varied. A double hard mask technique is used to taper structures in a sacrificial layer and thereby the nanoelectrodes' diameter. The principles and evaluation of the spacing technique, which allows the fabrication of sub-lithographic structures, are presented here. © 2017 IEEE.
    view abstract10.1109/PRIME.2017.7974168
  • Uncooled microbolometer arrays with a pixel size of 12μm based on a novel thermally isolating structure
    Muckensturm, K.-M. and Weiler, D. and Hochschulz, F. and Busch, C. and Geruschke, T. and Wall, S. and Heß, J. and Lerch, R. and Würfel, D. and Vogt, H.
    Technisches Messen 84 (2017)
    A novel structure for realization of thermal isolation and electrical contacting of microbolometers is described in this paper. This structure is formed by thin coated hollow tubes (termed as nanotubes in this work), which can be fabricated by processes of microsystems technology. Therefore, commonly used lateral legs asmain component of thermal isolation can be excluded in order that the effective absorption area is maximized. The resulting thermal conductance can be tuned independently from the pixel size by varying layer thicknesses, base radius and length of the structured nanotubes. The fabricated 12 mpixel size nanotube microbolometers are characterizedwith respect to electrical-optical andmechanical properties by means of test structures.
    view abstract10.1515/teme-2017-0004
  • Integrated multi-sensor system for parallel in-situ monitoring of cell nutrients, metabolites, cell density and pH in biotechnological processes
    Mross, S. and Zimmermann, T. and Winkin, N. and Kraft, M. and Vogt, H.
    Sensors and Actuators, B: Chemical 236 (2016)
    We report on a multi-sensor system for the parallel in-situ monitoring of cell nutrients, metabolites, cell density and pH in biotechnological processes. The fabrication process of the sensor chip, based on a CMOS process flow, is suitable for integration into standard processes and mass production of the system. The integration of enzyme sensors for wide concentration ranges, an impedance-based sensor and a pH sensor on one silicon chip for in-situ applications in bioreactors, fabricated in a CMOS-based process flow, is demonstrated for the first time to our best knowledge. Measurements of glucose and lactate concentrations in a wide range are shown with linear ranges up to 600 mM and 900 mM, respectively. Cell density is determined via conductivity changes of a cell suspension and measurements are performed up to 15 g/l dry cell weight. The pH sensor is based on C-V measurements at an electrolyte-insulator-semiconductor structure and able to measure pH in a range from pH 3 to pH 12. All sensors are successfully employed in the monitoring of cultures of Saccharomyces cerevisiae or Lactobacillus acidophilus. © 2016 Elsevier B.V.
    view abstract10.1016/j.snb.2016.03.086
  • Materials and technologies to enable high temperature stable MEMS and electronics for smart systems used in harsh environments
    Gabler, F. and Roscher, F. and Doring, R. and Otto, A. and Ziesche, S. and Ihle, M. and Celik, Y. and Dietz, D. and Goehlich, A. and Kappert, H. and Vogt, H. and Naumann, F. and Gessner, T.
    China Semiconductor Technology International Conference 2016, CSTIC 2016 (2016)
    This paper gives an overview of technologies and materials for microsystems and electronics in harsh environmental applications including the fabrication of a multifunctional MEMS with platinum metallization, high-temperature stable CMOS circuits and trench capacitors, ceramic-based packaging technologies as well as analysis of material parameters, simulation and reliability testing. © 2016 IEEE.
    view abstract10.1109/CSTIC.2016.7463912
  • Measurement results of a 12 μm pixel size microbolometer array based on a novel thermally isolating structure using a 17 μm ROIC
    Muckensturm, K.-M. and Weiler, D. and Hochschulz, F. and Busch, C. and Geruschke, T. and Wall, S. and Heß, J. and Würfel, D. and Lerch, R. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 9819 (2016)
    In this paper a novel concept for the fabrication of highly sensitive uncooled microbolometers is presented. The approach is based on the realization of thermal isolation and simultaneous electrical contacting of the microbolometers by means of sufficiently long and thin coated nanotubes, which can be fabricated by post processing on top of CMOS wafers comprising the ROIC. Thus, the effective area of the absorption layer is maximized at a given pixel size, as lateral legs, which have been the main component of the thermal isolation commonly, are completely omitted. The resulting thermal conductivity can be tuned independently from the pixel size by varying the geometry and structuring of the nanotubes. Based on test structures the nanotube microbolometers are characterized with respect to electro-optical and mechanical properties. The focus in this paper is on nanotube microbolometers with a pixel size of 12 μm. © 2016 SPIE.
    view abstract10.1117/12.2223608
  • Modeling of the charge transfer in a lateral drift field photo detector
    Driewer, A. and Hosticka, B.J. and Spickermann, A. and Vogt, H.
    Solid-State Electronics 126 (2016)
    In this article a model is introduced that describes the charge transfer in pixels of an image sensor. The model is suitable for image sensors where lateral drift field photo detectors were implemented and considers the effects of thermal diffusion, drift due to the built-in potential gradient, and self-induced drift. The analytical result is compared with a numerical solution and confirmed by measurements. With this model it is possible to predict the amount of collected charge at the sense node for very short integration times in comparatively long pixel structures. This is particularly important for indirect time-of-flight applications with CMOS image sensors. This approach enables the optimization of the pixel layout as well as an advanced calibration that might possibly enhance the distance precision. The model can also be applied to image sensors featuring pinned photodiodes. © 2016 Elsevier Ltd
    view abstract10.1016/j.sse.2016.09.015
  • Enzyme Sensor With Polydimethylsiloxane Membrane and CMOS Potentiostat for Wide-Range Glucose Measurements
    Mross, S. and Fürst, P. and Pierrat, S. and Zimmermann, T. and Vogt, H. and Kraft, M.
    IEEE Sensors Journal 15 (2015)
    We report on an electrochemical measurement setup comprising a glucose sensor and a CMOS potentiostat with a two-layer membrane as the first steps toward the development of an integrated in-situ sensor system for bioreactors. The potentiostat has a chip size of 2.1 mm × 2.5 mm and a linear current range from -220 nA to 240 nA with a linearity of R2 = 0.9995. For wide range measurements of glucose concentrations in cell culture media, electrodes functionalized with the enzyme glucose oxidase were spin-coated with membranes made from polydimethylsiloxane (PDMS). A two-stage curing scheme of the PDMS was applied, and different membrane thicknesses and curing times were evaluated. With these membranes, glucose concentrations up to 500 mM were measured with a linear measurement range up to 200 mM. The sensors were successfully employed in the glucose monitoring of a culture of Saccharomyces cerevisiae to monitor the glucose consumption of the cells. For interference elimination, the cellulose acetate membranes were employed. © 2015 IEEE.
    view abstract10.1109/JSEN.2015.2470111
  • Failure mechanisms of microbolometer thermal imager sensors using chip-scale packaging
    Elßner, M. and Vogt, H.
    Microelectronics Reliability 55 (2015)
    This paper analyzes relevant failure mechanisms for microbolometer thermal imager sensors that are assembled with a small size and low cost chip scale package. The analyses focus on device specific elements like the bolometer sensor structures, the longtime stability of the sensor and its performance, and the stability of the hermetic chip scale package. Executed reliability tests showed a high reliability of the sensor and the package without hard failures. The package survived harsh environmental accelerated stress tests and showed only a slight reduction of the shear strength through void formation and small cracks within the lead frame that could be verified through FEM simulations. The stress on the bolometers is investigated by thermomechanical FEM simulations. Executed reliability tests showed no enlargement in the number of defect pixel. The sensor performance showed a longtime drift and temperature dependence through outgassing processes inside the package leading to a significant performance reduction. Thus this effect is investigated more closely and possible countermeasures are proposed. © 2015 Elsevier Ltd.
    view abstract10.1016/j.microrel.2015.07.040
  • Integrated multi-sensor system for parallel in-situ monitoring of cell nutrients, metabolites and cell mass in biotechnological processes
    Mross, S. and Zimmermann, T. and Winkin, N. and Kraft, M. and Vogt, H.
    Procedia Engineering 120 (2015)
    We report on a multi-sensor system for the parallel in-situ monitoring of cell nutrients, metabolites and cell mass in biotechnological processes. The integration of enzyme sensors and impedance spectroscopy on one chip for applications in bioreactors is demonstrated for the first time to our best knowledge. Measurements of glucose and lactate concentrations in a wide range are shown with linear ranges up to 600 mM and 900 mM, respectively. The glucose and cell mass sensor in parallel are successfully employed in a cell culture of Saccharomyces cerevisiae to monitor glucose consumption and increasing mass of the cells. © 2015 The Authors. Published by Elsevier Ltd.
    view abstract10.1016/j.proeng.2015.08.642
  • Investigation of Diaphragm Deflection of an Absolute MEMS Capacitive Polysilicon Pressure Sensor
    Walk, C. and Goehlich, A. and Giese, A. and Goertz, M. and Vogt, H. and Kraft, M.
    Smart Sensors, Actuators, and Mems Vii; and Cyber Physical Systems 9517 (2015)
    This paper deals with the characteristics of circular shaped polysilicon pressure sensor diaphragms operating in the nontactile mode. Using a phase shifting interferometer the main characteristics of diaphragms were investigated under applied pressure with respect to sensitivity, initial deflection and cavity height. Diaphragms with a thickness of 1 mu m and a diameter of 96 mu m were investigated in an intended pressure range of applied pressure of about 700 - 2000 hPa. Process parameters with major impact on performance and yield limitations were identified. These include the variance in diaphragm sensitivity and the impact of the variance of the sacrificial oxide layer defining the diaphragm cavity height on the contact pressure point. The sensitivity of these diaphragms including the variance was found to be -19.8 +/- 1.3 nm per 100 hPa. The impact of variance in the cavity height on the contact pressure point was found to be about 3.7 +/- 0.5 hPa per nm. Summarizing both impacts a maximum variation of the contact pressure point of more than 450 hPa is possible to occur considering a nominal deflection of 300 nm. By optimizing the process of diaphragm deposition the variance in the sensitivity of the diaphragm was decreased by a factor of 2. A semi - empirical formula was evaluated that describes the deflection including initial deflection due to intrinsic stress and the process variations. A validation to the experimental obtained deflection lines showed a good agreement with deviations of less than 2 % for radial ranges of maximum deflection.
    view abstract10.1117/12.2176188
  • Modeling of CMOS image sensors for time-of-flight applications
    Driewer, A. and Hosticka, B.J. and Spickermann, A. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 9506 (2015)
    This contribution describes the modeling of CMOS image sensors employed in time-of-flight (ToF) sensor systems for 3D ranging applications. Our model relies on the theoretical description of photo-generation, charge transfer including diffusion, fringing field, and self-induced drift (SID). This method makes it possible to calculate the time-dependent charge carrier generation, transfer, and distribution. The employed approach allows elimination not only of irradiance-dependent charge transfer, but also of undesired reflectance effects, and the influence of ambient light through an in-pixel background measurement. Since the sensor is operated with very short integration times it is crucial to accomplish a fast transfer of the generated charge from the photodetector to the sense node, and speedy conversion into an electrical signal at its output. In our case, we employed a lateral drift field photodetector (LDPD), which is basically a pinned photodiode with a built-in drift field formed by a doping gradient. A novel pixel structure is presented which is optimized for a fast charge transfer by the appliance of the shown model. Numerical calculations predict a two times faster charge collection. © 2015 SPIE.
    view abstract10.1117/12.2178390
  • Reliability of microbolometer thermal imager sensors using chip-scale packaging
    Elßner, M. and Vogt, H.
    Procedia Engineering 120 (2015)
    This paper analyses relevant failure mechanisms for microbolometer thermal imager sensors that are assembled with a small size and low cost chip scale package. The analyses focus at device specific elements like the bolometer sensor structures, the longtime stability of the sensor and its performance, and the stability of the hermetic chip scale package. Executed reliability tests showed a high reliability of the sensor and the package without hard failures. The package survived harsh environmental accelerated stress tests and showed only a slight reduction of the shear strength through void formation and small cracks within the lead frame that could be verified through FEM simulations. The stress on the bolometers is investigated by thermomechanical FEM simulations. Executed reliability tests showed no enlargement in the number of defect pixel. The sensor performance showed a longtime drift and temperature dependence through outgassing processes inside the package leading to a significant performance reduction. Thus this effect is investigated closer and possible countermeasures are proposed. © 2015 The Authors. Published by Elsevier Ltd.
    view abstract10.1016/j.proeng.2015.08.784
  • Thin-film SOI PIN-diode leakage current dependence on back-gate-potential and HCI traps
    Schmidt, A. and Dreiner, S. and Vogt, H. and Paschen, U.
    European Solid-State Device Research Conference 2015-November (2015)
    We investigated the leakage current of thin film silicon-on-insulator (SOI) pin-diodes in dependence of the back-gate potential and hot carrier induced traps. Leakage current of virgin and hot-carrier stressed diodes was measured at distinct back-gate potentials. TCAD simulations were used to determine the mechanisms of leakage current generation at specific back-gate potentials. Traps were introduced to study the impact of hot-carrier stress on the leakage current. Location, polarity and density of traps were considered. For a virgin device tunneling is predominant in inversion and accumulation. In full depletion surface generation dominates the leakage behavior. Surface and oxide traps shift the leakage current and alter its mechanism with increasing density, i.e. stress time. In inversion trap generation dominates at the top SOI interface. In depletion top and bottom interface traps are generated. © 2015 IEEE.
    view abstract10.1109/ESSDERC.2015.7324771
  • PECVD of poly-SiGe/Ge layers with increased total gas flow
    Wang, Q. and Göhlich, A. and Ruß, M. and Yang, P. and Vogt, H.
    Microelectronic Engineering 115 (2014)
    The PECVD of in situ boron doped SiGe and Ge layers with the increased total gas flow was investigated. It was found, that the SiGe layer could be deposited as amorphous or polycrystalline material depending on the quantity of the total gas flow, while other deposition parameters were kept constant. The increased total gas flow favors the crystallization of the deposited SiGe or Ge layers, what is attributed to the reduced gas residence time. The reduced residence time improves the crystallinity of the deposited layers by increasing the Ge content in the layers (in the case of SiGe layers) and probably additionally through the increasing of the XH3 radicals in the plasma. With the deposition method with increased total gas flow, poly-SiGe and poly-Ge layers with very low resistivity (about 1 mΩ-cm) can be deposited at very low substrate temperatures (poly-SiGe: ≤375 C; poly-Ge: ≤340 C). These layers have small tensile stresses. © 2013 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.mee.2013.10.027
  • Performance analysis of a large photoactive area CMOS line sensor for fast, time-resolved spectroscopy applications
    Poklonskaya, E.A. and Durini, D. and Jung, M. and Schrey, O. and Driewer, A. and Brockherde, W. and Hosticka, B. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 9141 (2014)
    The performance of a fabricated CMOS line sensor based on the lateral drift-field photodiode (LDPD)1 concept is described. A new pixel structure was designed to decrease the charge transfer time across the photoactive area. Synopsys TCAD simulations were performed to design a proper intrinsic lateral drift-field within the pixel. The line sensor was fabricated in the 0.35 μm CMOS technology, and further characterized using a tailored photon-transfer method2 and the EMVA 1288 standard3. The basic parameters such as spectral responsivity, photo-response non-uniformity and dark current were measured at fabricated sensor samples. A special attention was paid to charge transfer time characterization4 and the evaluation of crosstalk between neighboring pixels - two major concerns attained during the development. It is shown that the electro-optical characteristics of the developed line sensor are comparable to those delivered by CCD line sensors available on the market, which are normally superior in performance compared to their CMOS based counterparts, but offering additional features such as the possibility of time gating, non-destructive readout, and charge accumulation over several cycles: approaches used to enhance the signal-to-noise ratio (SNR) of the sensor output. © 2014 SPIE.
    view abstract10.1117/12.2051318
  • Reliability of CMOS on silicon-on-insulator for use at 250°C
    Grella, K. and Dreiner, S. and Vogt, H. and Paschen, U.
    IEEE Transactions on Device and Materials Reliability 14 (2014)
    This paper deals with the reliability of a 1.0-μ CMOS-silicon-on- insulator (SOI) process, which is intended for use at 250 °C. The goal is to give an overview of the most important reliability aspects that concern devices and circuits at temperatures of 250 °C and above. The investigated reliability aspects are the gate oxide integrity in terms of time-dependent dielectric breakdown measurements, electro- and stress migration, and the EEPROM reliability such as the data retention and the endurance, as well as transistor aspects (e.g., hot carrier, negative bias temperature instability) and the long-term stability of a ring oscillator and a band-gap reference. As most of the commonly applied methods for accelerated reliability testing and analysis are not designed to be used at such high temperatures, this paper evaluates in which way the known models can be applied and which physical mechanisms have to be considered. Since temperatures of 250°C and more are necessary for testing, the investigations also yield an estimate of the temperature limit of use for CMOS on SOI. The results indicate that the use of CMOS on SOI is, in principle, possible up to 400°C. © 2013 IEEE.
    view abstract10.1109/TDMR.2013.2284665
  • Uncooled digital IRFPA-family with 17μm pixel-pitch based on amorphous silicon with massively parallel Sigma-Delta-ADC readout
    Weiler, D. and Hochschulz, F. and Würfel, D. and Lerch, R. and Geruschke, T. and Wall, S. and Heß, J. and Wang, Q. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 9070 (2014)
    This paper presents the results of an advanced digital IRFPA-family developed by Fraunhofer IMS. The IRFPA-family compromises the two different optical resolutions VGA (640 ×480 pixel) and QVGA (320 × 240 pixel) by using a pin-compatible detector board. The uncooled IRFPAs are designed for thermal imaging applications in the LWIR (8.. 14μm) range with a full-frame frequency of 30 Hz and a high thermal sensitivity. The microbolometer with a pixel-pitch of 17μm consists of amorphous silicon as the sensing layer. By scaling and optimizing our previous microbolometer technology with a pixel-pitch of 25μm we enhance the thermal sensitivity of the microbolometer. The microbolometers are read out by a novel readout architecture which utilizes massively parallel on-chip Sigma-Delta-ADCs. This results in a direct digital conversion of the resistance change of the microbolometer induced by incident infrared radiation. To reduce production costs a chip-scale-package is used as vacuum package. This vacuum package consists of an IR-transparent window with an antireflection coating and a soldering frame which is fixed by a wafer-to-chip process directly on top of the CMOS-substrate. The chip-scale-package is placed onto a detector board by a chip-on-board technique. The IRFPAs are completely fabricated at Fraunhofer IMS on 8" CMOS wafers with an additional surface micromachining process. In this paper the architecture of the readout electronics, the packaging, and the electro-optical performance characterization are presented. © 2014 SPIE.
    view abstract10.1117/12.2050445
  • CMOS-compatible ruggedized high-temperature Lamb wave pressure sensor
    Kropelnicki, P. and Muckensturm, K.-M. and Mu, X.J. and Randles, A.B. and Cai, H. and Ang, W.C. and Tsai, J.M. and Vogt, H.
    Journal of Micromechanics and Microengineering 23 (2013)
    This paper describes the development of a novel ruggedized high-temperature pressure sensor operating in lateral field exited (LFE) Lamb wave mode. The comb-like structure electrodes on top of aluminum nitride (AlN) were used to generate the wave. A membrane was fabricated on SOI wafer with a 10 μm thick device layer. The sensor chip was mounted on a pressure test package and pressure was applied to the backside of the membrane, with a range of 20-100 psi. The temperature coefficient of frequency (TCF) was experimentally measured in the temperature range of -50 °C to 300 °C. By using the modified Butterworth-van Dyke model, coupling coefficients and quality factor were extracted. Temperature-dependent Young's modulus of composite structure was determined using resonance frequency and sensor interdigital transducer (IDT) wavelength which is mainly dominated by an AlN layer. Absolute sensor phase noise was measured at resonance to estimate the sensor pressure and temperature sensitivity. This paper demonstrates an AlN-based pressure sensor which can operate in harsh environment such as oil and gas exploration, automobile and aeronautic applications. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0960-1317/23/8/085018
  • Speed considerations for LDPD based time-of-flight CMOS 3D image sensors
    Süss, A. and Nitta, C. and Spickermann, A. and Durini, D. and Varga, G. and Jung, M. and Brockherde, W. and Hosticka, B.J. and Vogt, H. and Schwope, S.
    European Solid-State Circuits Conference (2013)
    Recently a 128×96 pixel range imager with a pitch of 40 μm and a fill factor of 38 % was presented for 3D range imaging measurements based on the pulse modulated (PM) time-of-flight (ToF) principle. This sensor employs a high-speed photodetector called lateral drift-field photodiode (LDPD). During the characterization insufficiencies in charge transfer were observed for low-light illumination. Here, characterization and analysis of the former imager is given and a redesign that circumvents the parasitic effects is demonstrated and verified by measurements on chip and on camera level. © 2013 IEEE.
    view abstract10.1109/ESSCIRC.2013.6649132
  • A novel fully implantable wireless sensor system for monitoring hypertension patients
    Cleven, N.J. and Müntjes, J.A. and Fassbender, H. and Urban, U. and Görtz, M. and Vogt, H. and Gräfe, M. and Göttsche, T. and Penzkofer, T. and Schmitz-Rode, T. and Mokwa, W.
    IEEE Transactions on Biomedical Engineering 59 (2012)
    This paper presents a novel fully implantable wireless sensor system intended for long-term monitoring of hypertension patients, designed for implantation into the femoral artery with computed tomography angiography. It consists of a pressure sensor and a telemetric unit, which is wirelessly connected to an extracorporeal readout station for energy supply and data recording. The system measures intraarterial pressure at a sampling rate of 30Hz and an accuracy of ±1.0mmHg over a range of 30-300mmHg, while consuming up to 300μW. A special peel-away sheath introducer set was developed to support the implantation procedure. The system delivered stable measurements in initial animal trials in sheep, with results being in good agreement with reference sensor systems. © 2012 IEEE.
    view abstract10.1109/TBME.2012.2216262
  • An improved electrical and thermal model of a microbolometer for electronic circuit simulation
    Würfel, D. and Vogt, H.
    Advances in Radio Science 10 (2012)
    The need for uncooled infrared focal plane arrays (IRFPA) for imaging systems has increased since the beginning of the nineties. Examples for the application of IRFPAs are thermography, pedestrian detection for automotives, fire fighting, and infrared spectroscopy. It is very important to have a correct electro-optical model for the simulation of the microbolometer during the development of the readout integrated circuit (ROIC) used for IRFPAs. The microbolometer as the sensing element absorbs infrared radiation which leads to a change of its temperature due to a very good thermal insulation. In conjunction with a high temperature coefficient of resistance (TCR) of the sensing material (typical vanadium oxide or amorphous silicon) this temperature change results in a change of the electrical resistance. During readout, electrical power is dissipated in the microbolometer, which increases the temperature continuously. The standard model for the electro-optical simulation of a microbolometer includes the radiation emitted by an observed blackbody, radiation emitted by the substrate, radiation emitted by the microbolometer itself to the surrounding, a heat loss through the legs which connect the microbolometer electrically and mechanically to the substrate, and the electrical power dissipation during readout of the microbolometer (Wood, 1997). The improved model presented in this paper takes a closer look on additional radiation effects in a real IR camera system, for example the radiation emitted by the casing and the lens. The proposed model will consider that some parts of the radiation that is reflected from the casing and the substrate is also absorbed by the microbolometer. Finally, the proposed model will include that some fraction of the radiation is transmitted through the microbolometer at first and then absorbed after the reflection at the surface of the substrate. Compared to the standard model temperature and resistance of the microbolometer can be modelled more realistically when these higher order effects are taken into account. A Verilog-A model for electronic circuit simulations is developed based on the improved thermal model of the microbolometer. Finally, a simulation result of a simple circuit is presented. © 2012 Author(s).
    view abstract10.5194/ars-10-183-2012
  • CMOS based capacitive biosensor with integrated tethered bilayer lipid membrane for real-time measurements
    Kißler, S. and Pierrat, S. and Zimmermann, T. and Vogt, H. and Trieu, H.-K. and Köper, I.
    Biomedizinische Technik 57 (2012)
    Conventional methods to investigate ionophore concentration require complex laboratory equipment. One approach to reduce the size of devices for the biosensor application is the implementation of the sensor circuits on CMOS microchips. Thus a new approach for the detection of changes in the ionophore concentration in tethered Bilayer Lipid Membranes (tBLMs) implemented in CMOS has been developed. In case of ion transport across the membrane by ionophores the ion concentration in the spacer region of the membrane is increased. This causes a permittivity increase and therefore a change of the capacitance of the spacer region which can be measured. The advantages of the capacitive biosensor principle are label-free measurements with short measurement times in the millisecond range. The test of the sensor chip with a circuit that mimics the membrane shows very good agreement between simulation and measurement. In addition, a Valinomycin concentration of 100 nM has been detected. An estimation of the detection limit gives a value of about 10 pM. © 2012 by Walter de Gruyter Berlin Boston.
    view abstract10.1515/bmt-2012-4016
  • Quantum efficiency determination of a novel CMOS design for fast imaging applications in the extreme ultraviolet
    Herbert, S. and Banyay, M. and Maryasov, A.P. and Hochschulz, F. and Paschen, U. and Vogt, H. and Juschkin, L.
    IEEE Transactions on Electron Devices 59 (2012)
    We present quantum efficiency (QE) and quantum yield (QY) measurements of novel deep optical stack etching extreme-ultraviolet complementary metal-oxide-semiconductor photodiodes of different sizes and derive future potentials. QE values between 24% and 50% at 13.5 nm were achieved. Variations in QE and QY measurement results were analyzed. © 2011 IEEE.
    view abstract10.1109/TED.2011.2177838
  • Sacrificial ion beam etching process for seed layer removal of 6 μm pitch CuSn micro bumps
    Hess, J. and Vogt, H.
    IOP Conference Series: Materials Science and Engineering 41 (2012)
    Copper pillar bumps show a wide-ranging application for assembly and packaging according to the «More than Moore» roadmap. For the demand of higher input/output (I/O) densities and consequently smaller bump pitches the requirements on each process step in producing 6 μm pitch Cu-Sn bumps increase. In this case the removal of seed layer with wet etchants is no longer practicable due to high undercut. A sacrifical Ion Beam Etching (IBE) process was developed for removing the TiW/Cu seed layer without any undercut. Due to the high etching rate of the rough Sn surface a sacrificial layer of Ni was used to protect the solder layer. To optimize the layer thicknesses etch rates were characterized. Special attention was directed to the etched material which covered the bumps on the sidewalls after the etching process step. Energy-dispersive X-ray spectroscopy (EDX) measurements and reflow processes revealed the influence of the redepositioned material on the melting behavior and hence on the following bonding process. © Published under licence by IOP Publishing Ltd.
    view abstract10.1088/1757-899X/41/1/012005
  • Simulation method for LWIR radiation distribution using a visual ray-tracer
    Utz, A. and Gendrisch, L. and Weiler, D. and Kolnsberg, S. and Vogt, H.
    Optical and Quantum Electronics 44 (2012)
    Infrared cameras with passive, uncooled sensor chips utilize the longwave infrared (LWIR) range of the electromagnetic spectrum with wavelengths between 8 and 14μm for image generation. The reason for this is that every object at room temperature is selfluminous at that wavelength. Therefore, every surface acts as a source of radiation in a LWIR scenario. To gain an impression and to model the effects and circumstances in an infrared scenario, a simulation method is required. In the visual domain this task is accomplished by ray-tracing software, which allows the generation of synthetic images as well as the analysis of irradiance distribution in a given scene. In this paper a way to apply one of such ray-tracers to a LWIR scenario is demonstrated. A possible application of the proposed simulation method in test-system modelling and uncertainty analysis is also presented. © Springer Science+Business Media, LLC. 2011.
    view abstract10.1007/s11082-012-9564-1
  • A far infrared VGA detector based on uncooled microbolometers for automotive applications
    Weiler, D. and Ruß, M. and Würfel, D. and Lerch, R. and Yang, P. and Bauer, J. and Kropelnicki, P. and Heß, J. and Vogt, H.
    Advanced Microsystems for Automotive Applications 2011: Smart Systems for Electric, Safe and Networked Mobility (2011)
    Warm bodies like humans or animals emit radiation in the long-wave infrared band (8 to 14 μm) which can be used for pedestrian detection in an automotive application. Fraunhofer-IMS has developed an advanced 640 × 480 (VGA) IR detector (IRFPA=infrared focal plane array) based on uncooled micro bolometers with a pixel-pitch of 25μm. The IRFPA is designed for thermal imaging applications with a full-frame frequency of 30 Hz and a high sensitivity with a NETD < 100 mK @ f/1. The microbolometer as the sensing element is based on amorphous silicon as the sensing layer. A novel readout architecture which utilizes massively parallel on-chip Sigma-Delta- ADCs located under the microbolometer array re sults in a high performance digital readout. Since packaging is a significant part of a IRFPA's price Fraunhofer-IMS uses a chip-scaled package consisting of an IR-transparent window with antireflection coating and a soldering frame for maintaining the vacuum. The IRFPAs are completely fabricated at Fraunhofer-IMS on 8" CMOS wafers with an additional surface micromachining process. © Springer-Verlag Berlin Heidelberg 2011.
    view abstract10.1007/978-3-642-21381-6-31
  • An uncooled VGA-IRFPA with novel readout architecture
    Würfel, D. and Ruß, M. and Lerch, R. and Weiler, D. and Yang, P. and Vogt, H.
    Advances in Radio Science 9 (2011)
    An uncooled VGA Infrared Focal Plane Array (IRFPA) based on microbolometers with a pixel pitch of 25 μm for thermal imaging applications is presented. The IRFPA has a 16-bit digital video data output at a frame rate of 30 Hz. Thousands of Analog to Digital Converters (ADCs) are located under the microbolometer array. One ADC consists of a Sigma-Delta-Modulator (SDM) of 2nd order and a decimation filter. It is multiplexed for a certain amount of microbolometers arranged in a so called "cluster". In the 1st stage of the SDM the microbolometer current is integrated time-continuously. The feedback is applied using a switchable current source. First measurements of Noise Equivalent Temperature Difference (NETD) as a key parameter for IRFPAs will be presented. © 2011 Author(s) CC Attribution 3.0 License.
    view abstract10.5194/ars-9-107-2011
  • CMOS photodiodes for narrow linewidth applications
    Hochschulz, F. and Dreiner, S. and Vogt, H. and Paschen, U.
    Proceedings of IEEE Sensors (2011)
    In recent years CMOS image sensors have gained a major market share for general imaging applications. However, when standard CMOS image sensors are employed in applications that require the detection of light with a very small spectral width, like 3D-time-of-flight imaging or other applications with laser light illumination, problems arise, that are negligible in standard imaging applications with broadband illumination. For a given wavelength a strong variation of the sensitivity upon small process related variations of the dielectric stack on top of the photodiodes leads to large die to die variations. In this paper a method is presented that decreases these sensitivity variations by introducing multiple optical path lengths of the dielectric stack within each photodiode. Using this method the maximum quantum efficiency variation for process induced thickness variations could be reduced significantly for a broad range of wavelengths without any additional processing steps. © 2011 IEEE.
    view abstract10.1109/ICSENS.2011.6126960
  • Improvements of a digital 25μm pixel-pitch uncooled amorphous silicon TEC-less VGA IRFPA with massively parallel Sigma-Delta- ADC readout
    Weiler, D. and Ruß, M. and Würfel, D. and Lerch, R. and Yang, P. and Bauer, J. and Heß, J. and Kropelnicki, P. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 8012 (2011)
    This paper presents the improvements of an advanced digital VGA-IRFPA developed by Fraunhofer-IMS. The uncooled IRFPA is designed for thermal imaging applications in the LWIR (8. . 14 μm) range with a full-frame frequency of 30 Hz and a high sensitivity with NETD < 100 mK @ f/1. The microbolometer with a pixel-pitch of 25 μm consists of amorphous silicon as the sensing layer. The structure of the microbolometer has been optimized for a better performance compared to the 1st generation IRFPA1. The thermal isolation has been doubled by increasing the length and by decreasing the width of the legs. To increase the fill-factor the contact areas have been reduced. The microbolometers are read out by a novel readout architecture which utilizes massively parallel on-chip Sigma-Delta-ADCs. This results in a direct digital conversion of the resistance change of the microbolometer induced by incident infrared radiation. Two different solutions for the vacuum package have been developed. To reduce production costs a chip-scale-package is used. This vacuum package consists of an IR-transparent window with antireflection coating and a soldering frame which is fixed by a wafer-to-chip process directly on top of the read substrate. An alternative solution based on the use of a standard ceramic package is utilized as a vacuum package. This packaging solution is used for high performance applications. The IRFPAs are completely fabricated at Fraunhofer-IMS on 8" CMOS wafers with an additional surface micromachining process. © 2011 SPIE.
    view abstract10.1117/12.883791
  • Light switched plasma charging protection device for high-field characterization and flash memory protection
    Sommer, S.P. and Paschen, U. and Figge, M. and Vogt, H.
    IEEE Transactions on Device and Materials Reliability 11 (2011)
    Plasma charging damage (PCD) is usually measured by comparing the measurement results of an undamaged reference structure to the results of structures, which intentionally received PCD. If wafer level reliability structures are required, these test structures, including the damage amplifying antenna, have to be small enough to fit into the scribeline. However, when these test structures, usually transistors, become smaller, it is harder to realize damage-free reference structures, since the ratio from pad antenna to gate area will increase. To avoid this effect, protection devices are commonly placed parallel to the gate of the reference transistors. However, most protection devices do not allow high-field measurement or the use of both polarities, which is important for in-depth PCD analysis. A device, which at the same time protects the test structure from PCD and also permits bipolar high-field stress to be applied to the test structure, is shown in this paper. Its usefulness is demonstrated on a realistic test structure and as a protection device for Flash memory cells. © 2006 IEEE.
    view abstract10.1109/TDMR.2010.2088399
  • Simulating far-infrared scenarios with the radiance synthetic imaging system
    Utz, A. and Gendrisch, L. and Vogt, H.
    Computing in Science and Engineering 13 (2011)
    Using a computer graphics technique, this approach simulates a far-infrared scenario, where every surface acts as a radiation source. © 2011 IEEE.
    view abstract10.1109/MCSE.2011.67
  • Simulation method for LWIR radiation distribution using a visual ray-tracer
    Utz, A. and Gendrisch, L. and Weiler, D. and Kolnsberg, S. and Vogt, H.
    Proceedings of the International Conference on Numerical Simulation of Optoelectronic Devices, NUSOD (2011)
    Infrared cameras with passive, uncooled sensor chips utilize the longwave-infrared (LWIR) range of the electromagnetic spectrum with wavelength between 8 and 14μm for image generation. The reason for this is that every object is self-luminous at room temperature at that wavelength. Therefore, every surface acts as a source of radiation in an LWIR scenario. To gain an impression and to model the effects and circumstances in an infrared scenario, a simulation method is required. In the visual domain this task is accomplished by ray-tracing software, which allows the generation of synthetic images as well as the analysis of irradiance distribution in a given scene. In this paper we demonstrate a way to apply one of such ray-tracers to a LWIR scenario. We will also demonstrate an application of the proposed simulation method. © 2011 IEEE.
    view abstract10.1109/NUSOD.2011.6041135
  • A digital 25μm pixel-pitch uncooled amorphous silicon TEC-less VGA IRFPA with massive parallel Sigma-Delta-ADC readout
    Weiler, D. and Russ, M. and Würfel, D. and Lerch, R. and Yang, P. and Bauer, J. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 7660 (2010)
    This paper presents an advanced 640 x 480 (VGA) IRFPA based on uncooled microbolometers with a pixel-pitch of 25μm developed by Fraunhofer-IMS. The IRFPA is designed for thermal imaging applications in the LWIR (8.. 14μm) range with a full-frame frequency of 30 Hz and a high sensitivity with NETD < 100 mK @ f/1. A novel readout architecture which utilizes massively parallel on-chip Sigma-Delta-ADCs located under the microbolometer array results in a high performance digital readout. Sigma-Delta-ADCs are inherently linear. A high resolution of 16 bit for a secondorder Sigma-Delta-modulator followed by a third-order digital sinc-filter can be obtained. In addition to several thousand Sigma-Delta-ADCs the readout circuit consists of a configurable sequencer for controlling the readout clocking signals and a temperature sensor for measuring the temperature of the IRFPA. Since packaging is a significant part of IRFPA's price Fraunhofer-IMS uses a chip-scaled package consisting of an IR-transparent window with antireflection coating and a soldering frame for maintaining the vacuum. The IRFPAs are completely fabricated at Fraunhofer-IMS on 8'' CMOS wafers with an additional surface micromachining process. In this paper the architecture of the readout electronics, the packaging, and the electro-optical performance characterization are presented. © 2010 Copyright SPIE - The International Society for Optical Engineering.
    view abstract10.1117/12.849839
  • A new DC-temperature model for a diode bolometer based on SOI-pin-diode test structures
    Kropelnicki, P. and Vogt, H.
    2010 11th International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design, SM2ACD 2010 (2010)
    Different models have been proposed for modeling the IV-characteristics of diodes. In this paper we present a simple model, which describes both the IV- and the temperature characteristics of a SOI-pin-diode and can be used for different diodes types. It is well known that the DC-characteristics of a diode can be approximated by the simple Shockley-equation. However, when a more exact modelling of device parameters is needed, for example for a microbolometer based on a diode, deviations from the simple exponential behaviour have to be taken into account. These additional effects usually depend on the operating point of the diode and can be included by allowing the ideality factor to vary with the applied operating voltage. ©2010 IEEE.
    view abstract10.1109/SM2ACD.2010.5672328
  • CMOS process enhancement for high precision narrow linewidth applications
    Hochschulz, F. and Paschen, U. and Vogt, H.
    2010 Proceedings of the European Solid State Device Research Conference, ESSDERC 2010 (2010)
    During the last years CMOS technologies found widespread use in the development and fabrication of optical sensors and imagers [1][5]. However, during the development of CMOS photo diodes for special applications requiring the detection of radiation with a small spectral linewidth various aspects have to be considered that are negligible for photo diodes employed in common imaging applications. One very important aspect is the influence of interference effects due to the dielectric stack that covers the photo diodes in every CMOS process. This results in a dramatic modulation of the electronic signal as a function of the wavelength, stack thickness and spectral width of the impinging radiation. The uncertainties introduced by these oscillations disfavor standard CMOS imaging solutions for small spectral width applications. In common imaging applications with a larger spectral linewidth these modulations are not visible due to the convolution of the spectral sensitivity with the incoming spectral profile. This paper describes a CMOS process addition that can be applied to photo diodes to significantly reduce the sensitivity modulations by etching the dielectric stack in photo active areas. Using this approach the sensitivity modulations due to interference effects have been nearly eliminated for wavelengths above 300 nm. This enables the use of standard CMOS processes for spectroscopy or special imaging applications like laser illumination. ©2010 IEEE.
    view abstract10.1109/ESSDERC.2010.5618370
  • Fabrication method for chip-scale-vacuum-packages based on a chip-to-wafer-process
    Bauer, J. and Weiler, D. and Ruß, M. and Heß, J. and Yang, P. and Voß, J. and Arnold, N. and Vogt, H.
    Proceedings of SPIE - The International Society for Optical Engineering 7834 (2010)
    This paper introduces a simple vacuum packaging method which is based on a Chip-to-Wafer process. The MEMS-device is provided with an electroplated solder frame. A Si-lid with the same solder frame is mounted on each die of the wafer using a flip chip process. The same materials for lid and substrate are used in order to reduce the mechanical stress due to the same thermal coefficients of expansion. The resulting cavity between die and lid can be evacuated and hermetically sealed with an eutectic soldering process. The feasibility of the method is demonstrated with an infrared focal plane array (IR-FPA). In this case, the Si-lid acts as an optical window and contains an anti reflective layer for the 8-14 μm wavelength area on both sides. The long-term vacuum stability is supported by a getter film inside the package. This method simplifies the sawing process and has the additional cost benefit that it is possible to package only known good dies. © 2010 Copyright SPIE - The International Society for Optical Engineering.
    view abstract10.1117/12.865019
  • Lateral drift-field photodiode for low noise, high-speed, large photoactive-area CMOS imaging applications
    Durini, D. and Spickermann, A. and Mahdi, R. and Brockherde, W. and Vogt, H. and Grabmaier, A. and Hosticka, B.J.
    Nuclear Instruments and Methods in Physics Research, Section A: Accelerators, Spectrometers, Detectors and Associated Equipment 624 (2010)
    In this work a theoretical concept and simulations are presented for a novel lateral drift-field photodetector pixel to be fabricated in a 0.35 μm CMOS process. The proposed pixel consists of a specially designed n-well with a non-uniform lateral doping profile that follows a square-root spatial dependence. "Buried" MOS capacitor-based collection-gate, a transfer-gate, and an n-type MOSFET source/drain n floating-diffusion serve to realize a non-destructive readout. The pixel readout is performed using an in-pixel source-follower pixel buffer configuration followed by an output amplifier featuring correlated double-sampling. The concentration gradient formed in the n-well employs a single extra implantation step in the 0.35 μm CMOS process mentioned and requires only a single extra mask. It generates an electrostatic potential gradient, i.e. a lateral drift-field, in the photoactive area of the pixel which enables high charge transfer speed and low image-lag. According to the simulation results presented, charge transfer times of less than 3 ns are to be expected. © 2010 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.nima.2010.03.162
  • Noise of short-time integrators for readout of uncooled infrared bolometer arrays
    Würfel, D. and Weiler, D. and Hosticka, B.J. and Vogt, H.
    Advances in Radio Science 8 (2010)
    As state-of-the-art readout circuits short-time integrators in Far Infrared (FIR) uncooled bolometer arrays are commonly used. This paper compares the transfer functions of an ideal continuous-time integrator with that of a real integrator with focus an OTA parameters and noise analysis. Beside the noise sources at the non-inverting input of the OTA special care has to be taken to account for capacitances at the inverting input. The Noise Equivalent Temperature Difference (NETD) as the key parameter for bolometer applications for a real short-time integrator will be derived. As the result it will be shown, that the NETD is 1/f-noise limited. © 2010 Author(s).
    view abstract10.5194/ars-8-129-2010
  • CMOS

  • industrial electronics

  • integrated circuits

  • medicine

  • microsystems technology

  • optoelectronics

  • post-processing

  • semiconductors

« back