Prof. Dr.-Ing. Peter Awakowicz

Electrical Engineering and Plasma Technology
Ruhr-Universität Bochum

Contact

Hub
  • Comparison of the performance of a microwave plasma torch and a gliding arc plasma for hydrogen production via methane pyrolysis
    Kreuznacht, Simon and Purcel, Maximilian and Böddeker, Simon and Awakowicz, Peter and Xia, Wei and Muhler, Martin and Böke, Marc and Keudell, Achim von
    Plasma Processes and Polymers 20 (2023)
    Hydrogen production via plasma methane pyrolysis is investigated using a microwave plasma torch (MPT) and a gliding arc plasmatron (GAP). The performance of the two plasma sources in terms of methane conversion, product spectrum, and energy efficiency is compared. The physical and chemical properties of the produced carbon particles are compared. The methane conversion is higher in the GAP than in the MPT. In the MPT amorphous spherical carbon particles are produced in the volume of the plasma source. In the GAP methane pyrolysis in the volume stops after the production of acetylene. The conversion of acetylene into solid carbon takes place in a heterogeneous reaction on top of the electrode surfaces instead. This leads to a lower hydrogen selectivity, higher acetylene selectivity and more platelet-like morphology of the produced carbon particles when compared to the MPT. © 2022 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202200132
  • Interactions Between Flow Fields Induced by Surface Dielectric Barrier Discharge Arrays
    Böddecker, Alexander and Passmann, Maximilian and Wilczek, Sebastian and Schücke, Lars and Korolov, Ihor and Skoda, Romuald and Mussenbrock, Thomas and Gibson, Andrew R. and Awakowicz, Peter
    Plasma Chemistry and Plasma Processing 43 (2023)
    This study investigates the flow field induced by a surface dielectric barrier discharge (SDBD) system, known for its efficient pollution remediation of volatile organic compounds (VOCs). We aim to understand the flow dynamics that contribute to the high conversion observed in similar systems using this specific SDBD design. Examining how the surface discharge affects the gas mixing in chemical processes is important for both understanding the fundamentals and for potential industrial applications. Experimental techniques, including schlieren imaging and particle image velocimetry (PIV), applied with high temporal resolution, were used to analyse the flow field. Complementary, fluid simulations are employed to investigate the coupling between streamer and gas dynamics. Results show distinct fluid field behaviours for different electrode configurations, which differ in geometric complexity. The fluid field analysis of the most basic electrode design revealed behaviours commonly observed in actuator studies. The simulation results indicate the local information about the electron density as well as different temporal phases of the fluid flow velocity field containing the development of the experimental found vortex structure, its direction and speed of rotation. The electrode design with mostly parallel grid line structures exhibits confined vortices near the surface. In contrast, an electrode design also used in previous studies, is shown to promote strong gas transport through extended vortex structures, enhancing gas mixing and potentially explaining the high conversion observed. © 2023, The Author(s).
    view abstract10.1007/s11090-023-10406-y
  • Investigation of flow characteristics in a twin-surface dielectric barrier discharge reactor by Schlieren imaging
    Ollegott, Kevin and Wirth, Philipp and Oberste-Beulmann, Christian and Sakthi, Gokul Siddarth Mani and Magazova, Aliya and Hermanns, Patrick and Peters, Niklas and Schücke, Lars and Bracht, Vera and Agar, David W and Awakowicz, Peter and Muhler, Martin
    Journal of Physics D: Applied Physics 56 (2023)
    Dielectric barrier discharges are an emerging technology for the plasma-catalytic removal of volatile organic compounds and other gas purification challenges such as the removal of O2 traces from H2. Packed-bed reactors are mainly used for these applications, but surface dielectric barrier discharges (SDBDs) typically printed on thin dielectric plates are promising alternatives for the treatment of large volumetric flow rates due to their low flow resistance causing a low pressure drop. Especially for SDBDs the flow conditions are crucial, because the active plasma filled volume covering the mentioned plates with a typical thickness of 0.1 mm is small in comparison to the overall reactor volume with a typical distance of some tens of millimeters to the reactor wall. In this study, the flow conditions of a twin-SDBD were investigated by Schlieren imaging applied in converting O2 traces in H2 containing gas mixtures to H2O and compared to fluid dynamics simulations. Schlieren imaging was used to visualize local gradients of the refractive index inside the SDBD reaction chamber, while gas composition, dissipated power, or flow rate were varied. Without a plasma discharge, laminar flow dominates, resulting in a conversion below 10% over a Pt-coated electrode configuration in the reaction of O2 traces with H2. With the plasma discharge, full conversion was achieved for the same reaction without catalyst, although the plasma is also confined to the surface of the electrode configuration. Schlieren structures covering the complete cross section of the reaction chamber were observed, showing that strong radial mass transport is induced by the plasma. The shape and extent of the Schlieren structures is ascribed to a superimposition of gas flow, thermal expansion from the plasma volume, thermal buoyancy as well as an electrohydrodynamic force between the electrodes and the grounded reactor walls. Fluid dynamics simulations show vortex formation above and below the electrode, created by the electrohydrodynamic force further implying extensive mass transport by the plasma, which is visualized in addition by carbonaceous deposits on the reactor lid. This emerging deposition pattern during toluene decomposition closely corresponds to the electrode geometry. It is proposed that the reaction proceeds only in the active plasma volume and that reactive species transported to the bulk gas phase only have a minor contribution. Thus, the degree of conversion of the SDBD reactor is not only determined by the chemical reactivity in the plasma volume, but also by its plasma-induced mass transport resulting in efficient gas mixing. These findings reveal new possibilities to improve SDBD reactors for gas purification applications based on their favorable flow conditions. © 2023 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6463/acc956
  • Surface modifications of aluminium and aluminium oxide induced by a treatment with a He-plasma jet and plasma electrolytic oxidation
    Bracht, V. and Gembus, J.-L. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 56 (2023)
    view abstract10.1088/1361-6463/acbd5e
  • A scalable twin surface dielectric barrier discharge system for pollution remediation at high gas flow rates
    Böddecker, A. and Bodnar, A. and Schücke, L. and Giesekus, J. and Wenselau, K. and Nguyen-Smith, R.T. and Oppotsch, T. and Oberste-Beulmann, C. and Muhler, M. and Gibson, A.R. and Awakowicz, P.
    Reaction Chemistry and Engineering (2022)
    In this work, a modular, multi-electrode surface dielectric barrier discharge system for the decomposition of polluted air streams at high volumetric flows, necessary for industrial applications, is designed and constructed. The system is demonstrated for the decomposition of butoxyethanol and n-butane in ambient air flows of up to almost 500 slm (standard litres per minute) (≙ 30 m3 h−1) at concentrations between 50 ppm and 1000 ppm. With an energy density of (78.3 ± 3.6) J L−1 a maximum relative conversion of about 27% of butoxyethanol is achieved. n-Butane was used to enable comparison with previous studies. Here it could be demonstrated that the scaled-up source achieved higher conversion at lower energy densities in comparison to the original design used at lower volumetric flow rates. Additionally, the density of ozone, which is a toxic by-product of the overall process, was measured in the exhaust gas under different operating conditions and its degradation with activated carbon filters was studied. At an energy density of 79.6 J L−1 a maximum ozone molecule flow of (9.02 ± 0.19) × 1018 s−1 was measured which decreases with increasing energy density, because among other possible effects the rising temperature accelerates its decay. One of the activated carbon filters was able to reduce the concentration of toxic ozone by 100% under conditions where a preheated airstream is used. © 2022 The Royal Society of Chemistry.
    view abstract10.1039/d2re00167e
  • Characterization of a robot-assisted UV-C disinfection for the inactivation of surface-associated microorganisms and viruses
    Fuchs, F.M. and Bibinov, N. and Blanco, E.V. and Pfaender, S. and Theiß, S. and Wolter, H. and Awakowicz, P.
    Journal of Photochemistry and Photobiology 11 (2022)
    view abstract10.1016/j.jpap.2022.100123
  • Comparison of the performance of a microwave plasma torch and a gliding arc plasma for hydrogen production via methane pyrolysis
    Kreuznacht, S. and Purcel, M. and Böddeker, S. and Awakowicz, P. and Xia, W. and Muhler, M. and Böke, M. and Keudell, A.V.
    Plasma Processes and Polymers (2022)
    Hydrogen production via plasma methane pyrolysis is investigated using a microwave plasma torch (MPT) and a gliding arc plasmatron (GAP). The performance of the two plasma sources in terms of methane conversion, product spectrum, and energy efficiency is compared. The physical and chemical properties of the produced carbon particles are compared. The methane conversion is higher in the GAP than in the MPT. In the MPT amorphous spherical carbon particles are produced in the volume of the plasma source. In the GAP methane pyrolysis in the volume stops after the production of acetylene. The conversion of acetylene into solid carbon takes place in a heterogeneous reaction on top of the electrode surfaces instead. This leads to a lower hydrogen selectivity, higher acetylene selectivity and more platelet-like morphology of the produced carbon particles when compared to the MPT. © 2022 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202200132
  • Experimental investigations of plasma dynamics in the hysteresis regime of reactive RF sputter processes
    Roggendorf, J. and Berger, B. and Eremin, D. and Oberberg, M. and Engel, D. and Wölfel, C. and Zhang, Q.-Z. and Awakowicz, P. and Lunze, J. and Schulze, J.
    Plasma Sources Science and Technology 31 (2022)
    view abstract10.1088/1361-6595/ac7413
  • Influence of surface activation on the microporosity of PE-CVD and PE-ALD SiOx thin films on PDMS
    Hoppe, C. and Mitschker, F. and Mai, L. and Liedke, M.O. and de los Arcos, T. and Awakowicz, P. and Devi, A. and Attallah, A.G. and Butterling, M. and Wagner, A. and Grundmeier, G.
    Plasma Processes and Polymers (2022)
    The microporosity, structure and permeability of SiOx thin films deposited by microwave plasma-enhanced chemical vapour deposition (PE-CVD) and plasma-enhanced atomic layer deposition (PE-ALD) on polydimethylsiloxane (PDMS) substrates were investigated by positron annihilation spectroscopy and complementary technique, such as X-ray photoelectron spectroscopy, infrared spectroscopy, time of flight mass spectroscopy and atomic force microscopy. The SiOx films were deposited onto spin-coated PDMS substrates, which were previously exposed to an oxygen plasma thus achieving the conversion of the top polymer layer into SiOx. The presence of this oxidised surface near the region led to an overall decrease in micropore density and to a shift towards smaller pore sizes within the deposited SiOx films. A correlation between the oxygen fluence during the oxygen plasma treatment and the microporosity of the PE-CVD and PE-ALD SiOx films could be established. © 2022 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202100174
  • Optical absorption spectroscopy of reactive oxygen and nitrogen species in a surface dielectric barrier discharge
    Schücke, L. and Bodnar, A. and Friedrichs, N. and Böddecker, A. and Peters, N. and Ollegott, K. and Oberste-Beulmann, C. and Wirth, P. and Nguyen-Smith, R.T. and Korolov, I. and Gibson, A.R. and Muhler, M. and Awakowicz, P.
    Journal of Physics D: Applied Physics 55 (2022)
    A twin surface dielectric barrier discharge (SDBD) ignited in a dry synthetic air gas stream is studied regarding the formation of reactive oxygen and nitrogen species (RONS) and their impact on the conversion of admixed n-butane. The discharge is driven by a damped sinusoidal voltage waveform at peak-to-peak amplitudes of 8 kVpp-13 kVpp and pulse repetition frequencies of 250 Hz-4000 Hz. Absolute densities of O3, NO2, NO3, as well as estimates of the sum of the densities of N2O4 and N2O5 are determined temporally resolved by means of optical absorption spectroscopy using a laser driven broadband light source, suitable interference filters, and a photodiode detector. The measured densities are acquired across the center of the reactor chamber as well as at the outlet of the chamber. The temporal and spatial evolution of the species' densities is correlated to the conversion of n-butane at concentrations of 50 ppm and 400 ppm, measured by means of flame ionization detectors. The n-butane is admixed either before or after the reactor chamber, in order to separate the impact of short- and long-lived reactive species on the conversion process. It is found that, despite the stationary conversion at the selected operating points, at higher voltages and repetition frequencies the densities of the measured species are not in steady state. Based on the produced results it is presumed that the presence of n-butane modifies the formation and consumption pathways of O3. At the same time, there is no significant impact on the formation of dinitrogen oxides (N2O4 and N2O5). Furthermore, a comparatively high conversion of n-butane, when admixed at the outlet of the reactor chamber is observed. These findings are discussed together with known rate coefficients for the reactions of n-butane with selected RONS. © 2022 The Author(s). Published by IOP Publishing Ltd
    view abstract10.1088/1361-6463/ac5661
  • μs and ns twin surface dielectric barrier discharges operated in air: From electrode erosion to plasma characteristics
    Nguyen-Smith, R.T. and Böddecker, A. and Schücke, L. and Bibinov, N. and Korolov, I. and Zhang, Q.-Z. and Mussenbrock, T. and Awakowicz, P. and Schulze, J.
    Plasma Sources Science and Technology 31 (2022)
    Electrode erosion through continual long-timescale operation (60 min) of identical twin surface dielectric barrier discharges (twin SDBDs) powered either by a microsecond (μs) or a nanosecond timescale (ns) voltage source is investigated. The twin SDBDs are characterized using current-voltage measurements, optical emission spectroscopy, and phase integrated ICCD imaging. The temporally and spatially averaged gas temperature, consumed electric power, and effective discharge parameters (reduced electric field, and electron density) are measured. The μs twin SDBD is shown to operate in a filamentary mode while the ns twin SDBD is shown to operate in a more homogeneous mode (i.e. non filamentary). Despite a similarity of the effective discharge parameters in both the μs and ns twin SDBD, erosion of the nickel coated electrodes caused by operation of the twin SDBD differs strongly. Only the formation of a moderate number of nickel oxide species is observed on the surface of the ns twin SDBD electrodes. In contrast, the nickel coated electrodes are locally melted and considerably higher densities of oxides are observed around the eroded areas of the μs twin SDBD, due to the filamentary nature of the discharge. © 2022 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ac5452
  • A Minimally Invasive Monitoring Concept for Plasma-Assisted Surface Treatments in PET Bottles
    Pohle, D. and Mitschker, F. and Jenderny, J. and Rudolph, M. and Schulz, C. and Awakowicz, P. and Rolfes, I.
    2020 50th European Microwave Conference, EuMC 2020 (2021)
    This paper presents a novel approach to plasma monitoring in the context of plasma-assisted surface treatments in PET bottles. In industrial state-of-the-art production of PET-based beverage bottles, a so-called Plasmaline antenna is inserted into the bottle which provides both process gases and microwave excitation to generate the plasma state required for coating or sterilization on its inside. The proposed concept based on the planar multipole resonance probe (pMRP) allows for a non-invasive supervision of the plasma from the outside of the bottle wall. Since plasma and probe head are only separated by dielectric materials in between, the sensor's electric field is able to interact with the plasma and the resonance behavior that occurs can be evaluated and tracked. The performance of the concept regarding changes of the plasma electron frequency and the electron collision frequency are investigated within 3D full-wave simulations in CST Microwave Studio. Measurements of an argon plasma are presented as a proof-of-concept, with the plasma being monitored from the plasma-remote side of a PET bottle section. © 2021 EuMA.
    view abstract10.23919/EuMC48046.2021.9338200
  • Catalyst-enhanced plasma oxidation of n-butane over α-MnO2 in a temperature-controlled twin surface dielectric barrier discharge reactor
    Peters, N. and Schücke, L. and Ollegott, K. and Oberste-Beulmann, C. and Awakowicz, P. and Muhler, M.
    Plasma Processes and Polymers (2021)
    A twin surface dielectric barrier discharge is used for the catalyst-enhanced plasma oxidation of 300 ppm n-butane in synthetic air. Plasma-only operation results in the conversion of n-butane into CO and CO2. Conversion is improved by increasing the temperature of the feed gas, but selectivity shifts to undesired CO. α-MnO2 is used as a catalyst deposited on the electrodes by spray coating with a distance of 1.5 mm between the uncoated grid lines and the square catalyst patches to prevent the inhibition of plasma ignition. The catalyst strongly influences selectivity, reaching 40% conversion and 73% selectivity to CO2 at a specific energy density of 390 J·L−1 and 140°C, which is far below the onset temperature of thermocatalytic n-butane conversion. © 2021 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202000127
  • Computational study of simultaneous positive and negative streamer propagation in a twin surface dielectric barrier discharge via 2D PIC simulations
    Zhang, Q.-Z. and Nguyen-Smith, R.T. and Beckfeld, F. and Liu, Y. and Mussenbrock, T. and Awakowicz, P. and Schulze, J.
    Plasma Sources Science and Technology 30 (2021)
    The propagation mechanisms of plasma streamers have been observed and investigated in a surface dielectric barrier discharge (SDBD) using 2D particle in cell simulations. The investigations are carried out under a simulated air mixture, 80% N2 and 20% O2, at atmospheric pressure, 100 kPa, under both DC conditions and a pulsed DC waveform that represent AC conditions. The simulated geometry is a simplification of the symmetric and fully exposed SDBD resulting in the simultaneous ignition of both positive and negative streamers on either side of the Al2O3 dielectric barrier. In order to determine the interactivity of the two streamers, the propagation behavior for the positive and negative streamers are investigated both independently and simultaneously under identical constant voltage conditions. An additional focus is implored under a fast sub nanosecond rise time square voltage pulse alternating between positive and negative voltage conditions, thus providing insight into the dynamics of the streamers under alternating polarity switches. It is shown that the simultaneous ignition of both streamers, as well as using the pulsed DC conditions, providing both an enhanced discharge and an increased surface coverage. It is also shown that additional streamer branching may occur in a cross section that is difficult to experimentally observe. The enhanced discharge and surface coverage may be beneficial to many applications such as, but are not limited to: air purification, volatile organic compound removal, and plasma enhanced catalysis. © 2021 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/abf598
  • Control-oriented plasma modeling and controller design for reactive sputtering
    Woelfel, C. and Oberberg, M. and Berger, B. and Engel, D. and Brinkmann, R.P. and Schulze, J. and Awakowicz, P. and Lunze, J.
    IFAC Journal of Systems and Control 16 (2021)
    The modeling and control of reactive sputtering processes to deposit aluminum oxide thin films by an RF driven magnetically-enhanced low-pressure plasma is investigated. The new model describes the nonlinear deposition process with respect to the reactive gas flow as the input and the plasma density as the output. The process behavior is characterized by an unstable and ambiguous behavior, where different plasma states refer to the same input value, whereas different input values can lead to the same plasma state. Based on the identified model the process can be classified by two process modes, which qualitatively determine the parametrization of a stabilizing pseudo-derivative feedback controller. A new controller design to achieve set-point following for the control loop with a specific transient behavior is proposed. Experiments are presented to validate the model and the control system. © 2021 Elsevier Ltd
    view abstract10.1016/j.ifacsc.2021.100142
  • Facilitation of adhesion and spreading of endothelial cells on silicone oxide-coated dacron material by microwave-excited low-pressure plasma
    Tilkorn, D.J. and Sorg, H. and Sanders, A. and Köller, M. and Awakowicz, P. and Hauser, J.
    Innovative Surgical Sciences 6 (2021)
    Objectives: Autologous transplants are still the means of choice for bypass surgery. In addition to good tolerability, there is a reduced thrombogenicity and fewer neointima hyperplasia compared to artificial materials. However, since viable transplants are limited, attempts are being made to improve existing artificial vascular prosthesis material. Next to the reduction of thrombogenicity, a rapid endothelialization of the vascular graft should reduce intimal hyperplasia and thus prevent stenoses. The effect of newly developed silicon oxide coatings on the growth of endothelial cells was therefore the goal of this work in a cell culture study. Methods: A woven, uncoated polyethylene terephthalate (PET) vessel prosthesis was used. The coating process was carried out in a low-pressure plasma reactor in a multi-step process. After preparation of the vacuum chamber hexamethyldisiloxane (HDMSO) with oxygen was evaporated using argon plasma. By this an approx. 1 nm thin adhesion promoter layer was separated from plasma and HMDSO. The silicone oxide barrier layer was applied to the PET vessel samples. The carbon content of the layer could be selectively altered by changing the HMDSO oxygen flow ratio, resulting in coatings of 100 nm, 500 nm, and 1,000 nm. In addition, two different oxygen-to-HMDSO ratios were used. To achieve a carbon coating as low as possible, the ratio was set to 200:1. A carbon-rich layer was obtained with the 1:1 setting. The various coatings were then examined for their surface texture by scanning electron microscopy (SEM) as well as by cell culture experiments for cell viability and growth using EA.hy 926 cells. Results: SEM showed no changes in the surface morphology; however a layer thickness of 1,000 nm showed peeled off coating areas. Alamar blue assays showed a significantly higher metabolic activity (p=0.026) for the coating 500 nm, ratio 200:1 compared to untreated control samples and a significantly lower metabolic activity (p=0.037) of the coating 500 nm, ratio 1:1 compared to the coating 500 nm, ratio 200:1. This underlines the apparent tendency of the 1:1 coating to inhibit the metabolic activity of the cells, while the 200:1 coating increases the activity. Fluorescence microscopy after calcein acetoxymethyl ester (AM) staining showed no significant difference between the different coatings and the uncoated PET material. However, a tendency of the increased surface growth on the coating 500 nm, ratio 200:1, is shown. The coatings with the ratio 1:1 tend to be less densely covered. Conclusions: The results of this work indicate a great potential in the silicon coating of vascular prosthesis material. The plasma coating can be carried out easy and gently. Cell culture experiments demonstrated a tendency towards better growth of the cells on the 200:1 ratio coating and a poorer growth on the carbon-rich coating 1:1 compared to the uncoated material. The coating with silicon oxide with a thickness of 500 nm and an oxygen-HMDSO ratio of 200:1, a particularly low-carbon layer, appears to be a coating, which should therefore be further investigated for its effects on thrombogenicity and intimal hyperplasia. © 2021 Daniel J. Tilkorn et al., published by De Gruyter, Berlin/Boston.
    view abstract10.1515/iss-2021-0027
  • Investigation of the frequency dependent spatio-temporal dynamics and controllability of microdischarges in unipolar pulsed plasma electrolytic oxidation
    Hermanns, P. and Boeddeker, S. and Bracht, V. and Bibinov, N. and Grundmeier, G. and Awakowicz, P.
    Journal of Physics D: Applied Physics 54 (2021)
    The unipolar pulsed-plasma electrolytic oxidation (PEO) of aluminum has been replaced by bipolar pulsed methods that use a so-called 'soft-sparking'mode. This method results in an effective reduction of intense microdischarges, which are detrimental to the oxide layer. In a previous publication, we developed an in-situ multivariable microdischarge control scheme using unipolar pulsing. Using this method, it is possible to restrict the mean microdischarge size to well-defined limits, while at the same time influencing the mean microdischarge energy, number density or spectral emission behaviour. This method operates well inside a frequency range of f = 1-20 kHz. Although this method shows highly desirable plasma control properties, the mechanisms defining this frequency-dependent controllability are unclear. The aim of this study is to visualize the spatio-temporal behavior of microdischarges in higher frequency ranges. First, a wavelet transform was performed to estimate the temporal evolution of microdischarge lifetimes. Ceramic coatings were then deposited on aluminum alloy substrates in an aqueous solution using unipolar pulsed galvanostatic PEO. The aluminum samples were coated for 30 min at frequencies of f1 = 50 Hz, f2 = 5 kHz and f3 = 100 kHz. High-speed imaging was carried out utilizing four synchronized intensified charge-coupled device (ICCD) cameras, each with a 500 ns exposure time. At f2 = 5 kHz, the microdischarges were still able to follow the electrical pulses. In this regime, the process can be divided into two stages, an initial charging of the substrate surface without plasma emission and a subsequent slower evolution of microdischarges. Equivalent circuit model descriptions are given for both processes. At f3 = 100 kHz, microdischarges were not able to follow the pulse frequency, as the lifetimes and risetimes of the microdischarge characteristics were longer than the pulse length. Reignition at the same spatial location, clustering and permanent ignition through pulse periods were observed. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/abbde4
  • Modelling of a miniature microwave driven nitrogen plasma jet and comparison to measurements
    Klute, M. and Kemaneci, E. and Porteanu, H.-E. and Stefanović, I. and Heinrich, W. and Awakowicz, P. and Brinkmann, R.P.
    Plasma Sources Science and Technology 30 (2021)
    The MMWICP (miniature microwave ICP) is a new plasma source using the induction principle. Recently Klute et al presented a mathematical model for the electromagnetic fields and power balance of the new device. In this work the electromagnetic model is coupled with a global chemistry model for nitrogen, based on the chemical reaction set of Thorsteinsson and Gudmundsson and customized for the geometry of the MMWICP. The combined model delivers a quantitative description for a non-thermal plasma at a pressure of p = 1000 Pa and a gas temperature of T g = 650-1600 K. Comparison with published experimental data shows a good agreement for the volume averaged plasma parameters at high power, for the spatial distribution of the discharge and for the microwave measurements. Furthermore, the balance of capacitive and inductive coupling in the absorbed power is analyzed. This leads to the interpretation of the discharge regime at an electron density of n e ≈ 6.4 × 1018 m-3 as E/H-hybridmode with an capacitive and inductive component. © 2021 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ac04bc
  • Modifications of an electrolytic aluminum oxide film under the treatment with microdischarges during plasma electrolytic oxidation, a self-organized dielectric barrier discharge (DBD) and a DBD-like plasma jet
    Bracht, V. and Kogelheide, F. and Gröger, S. and Hermanns, P. and Böddeker, S. and Bibinov, N. and Awakowicz, P.
    Plasma Research Express 3 (2021)
    A key to the understanding of mechanisms during plasma electrolytic oxidation (PEO) is the interaction between microdischarges and an amorphous oxide film. The PEO microdischarges, which are randomly distributed on the surface of a treated lightweight metal substrate (Al, Ti, Mg), cause material extraction and support the formation of hard and dense crystalline oxide films. Characterization of these microdischarges is a complicated task under PEO conditions, because of the stochastically temporal and spatial behavior as well as the small dimension of the microdischarges. Microdischarges at atmospheric pressure conditions can leave similar erosion traces on metallic films (Al, Ti) as PEO microdischarges on oxide films, and possibly can support a better understanding of the plasma-solid-interactions as well as microdischarge characteristics during PEO. A porous aluminum oxide film is deposited on aluminum substrates by pre-anodizing at a voltage of 250 V and is treated afterwards with a relative short (duration of 1 min) PEO process at a voltage of about 500 V or filamentary dielectric barrier discharges, namely a self-organized Dielectric Barrier Discharge (DBD) and a DBD-like plasma jet operated both with a He/N2 (95%/5%) gas flow. The gas temperature at DBD plasma conditions, measured using the rotational distribution in the emission spectra of molecular nitrogen, is low and amounts to about 400 K. Erosion traces on the surface of the oxide film caused by PEO and plasma spots of both atmospheric pressure discharges are studied by scanning electron microscopy and energy dispersed x-ray spectroscopy. Form and dimensions of erosion traces and established modifications of the material composition generated by the treatment with these DBD microdischarges under atmospheric pressure conditions are similar to those ones generated by the PEO process. Hence, a similar mechanism of these processes is supposed. For stronger evidences of the assumed PEO mechanism additional experimental studies are needed. © 2021 IOP Publishing Ltd
    view abstract10.1088/2516-1067/ac2e0f
  • On the Multipole Resonance Probe: Current Status of Research and Development
    Oberrath, J. and Friedrichs, M. and Gong, J. and Oberberg, M. and Pohle, D. and Schulz, C. and Wang, C. and Awakowicz, P. and Brinkmann, R.P. and Lapke, M. and Mussenbrock, T. and Musch, T. and Rolfes, I.
    IEEE Transactions on Plasma Science (2021)
    During the last decade a new probe design for active plasma resonance spectroscopy, the multipole resonance probe (MRP), was proposed, analyzed, developed, and characterized in two different designs: the spherical MRP (sMRP) and the planar MRP (pMRP). The advantage of the latter is that it can be integrated into the chamber wall and can minimize the perturbation of the plasma. Both designs can be applied for monitoring and control purposes of plasma processes for industrial applications. As usual for this measurement technique, a mathematical model is required to determine plasma parameter (electron density, electron temperature, and collision frequency of electrons with neutral atoms) from the measured resonances. Based on the cold plasma model a simple relationship between the resonance frequency and the electron density can be derived and leads to excellent measurement results. However, a simultaneous measurement of the electron temperature in low-pressure plasmas requires a kinetic model, because the half-width of the resonance peak is broadened by kinetic effects. Such a model has been derived and first results show the broadening of the spectra as expected. Deriving a relation between the half-width and the electron temperature will allow the simultaneous measurement and an improvement of monitoring and control concepts. IEEE
    view abstract10.1109/TPS.2021.3113832
  • Relative calibration of a retarding field energy analyzer sensor array for spatially resolved measurements of the ion flux and ion energy in low temperature plasmas
    Ries, S. and Schroeder, M. and Woestefeld, M. and Corbella, C. and Korolov, I. and Awakowicz, P. and Schulze, J.
    Review of Scientific Instruments 92 (2021)
    A calibration routine is presented for an array of retarding field energy analyzer (RFEA) sensors distributed across a planar electrode surface with a diameter of 450 mm that is exposed to a low temperature plasma. Such an array is used to measure the ion velocity distribution function at the electrode with radial and azimuthal resolutions as a basis for knowledge-based plasma process development. The presented calibration procedure is tested by exposing such an RFEA array to a large-area capacitively coupled argon plasma driven by two frequencies (13.56 and 27.12 MHz) at a gas pressure of 0.5 Pa. Up to 12 sensors are calibrated with respect to the 13th sensor, called the global reference sensor, by systematically varying the sensor positions across the array. The results show that the uncalibrated radial and azimuthal ion flux profiles are incorrect. The obtained profiles are different depending on the sensor arrangement and exhibit different radial and azimuthal behaviors. Based on the proposed calibration routine, the ion flux profiles can be corrected and a meaningful interpretation of the measured data is possible. The calibration factors are almost independent of the external process parameters, namely, input power, gas pressure, and gas mixture, investigated under large-area single-frequency capacitively coupled plasma conditions (27.12 MHz). Thus, mean calibration factors are determined based on 45 different process conditions and can be used independent of the plasma conditions. The temporal stability of the calibration factors is found to be limited, i.e., the calibration must be repeated periodically. © 2021 Author(s).
    view abstract10.1063/5.0059658
  • Tissue differentiation using optical emission spectroscopy for gastric mucosal devitalisation
    Hillebrand, B. and Jurjut, O. and Schuhmann, T. and Schürmann, M. and Neugebauer, A. and Kemen, M. and Awakowicz, P. and Enderle, M.
    Journal of Physics D: Applied Physics 54 (2021)
    Argon plasma coagulation is a promising new approach to combat obesity. During the procedure, a large area of the gastric lamina mucosae and the parietal cells that are located in this layer are ablated. This reduces the secretion of the hormone ghreline, which is known to play a major role in inducing appetite. One hypothesis is that this procedure partly suppresses the feeling of hunger which lowers the food-intake and body weight effectively. To rule out side effects, the treatment of other structures of the gastric wall that are much more sensitive to thermal injury, such as the tela submucosa and the lamina muscularis propria, needs to be avoided. The possibility of layer specific tissue differentiation is explored in this work using optical emission spectroscopy on ex vivo human stomach tissue. The optical emission generated during the plasma treatment of each layer is measured with two different spectrometers. The three main layers of the gastric wall can be differentiated by specific emissions lines of electrolytic ions and trace elements. In order to evaluate the diagnostic quality of this method a linear support vector classifier is used to differentiate the three layers against each other on the basis of these emission lines of these elements. This differentiation between 'mucosa' and 'submucosa' results in a sensitivity of up to 82% and specificity of up to 92%. However, a lower sensitivity and specificity is found for a classification between 'submucosa' and 'muscularis' which implies that a classification between 'mucosa' and 'not mucosa' would be a much more suitable classification for a later clinical application. © 2021 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6463/abf400
  • A simple Peltier cold trap aperture for protection of vacuum UV optics against hydrocarbons and reliable calibration of VUV spectrometers using D2 lamps
    Fiebrandt, M. and Awakowicz, P.
    Measurement Science and Technology 31 (2020)
    A simple Peltier cooled cold trap aperture is presented to minimize the flux of hydrocarbons on optics in vacuum UV systems. The system can be cooled down to -40 °C under vacuum. To test the effect of the cold trap, the aperture is placed in front of a high-intensity D2 lamp used for calibration in the range of 116 nm to 300 nm which is flanged to a VUV spectrometer. The influence of the aperture temperature is monitored by measuring the intensity loss rate of the Lyman-alpha emission line at 121.6 nm due to the formation of carbon contamination on the MgF2 window of the lamp depending on the Peltier temperature. The application of the aperture significantly reduced the intensity loss from approx. 20% h-1 to less than 2% h-1 and enables the reliable use of a D2 lamp for the relative intensity calibration of the spectrometer. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6501/ab7f7a
  • Anode spots of low current gliding arc plasmatron
    Böddeker, S. and Bracht, V. and Hermanns, P. and Gröger, S. and Kogelheide, F. and Bibinov, N. and Awakowicz, P.
    Plasma Sources Science and Technology 29 (2020)
    In this work a gliding arc plasmatron consisting of a filamentary discharge rotating in a nitrogen vortex flow at low DC current (I = 100 mA) is investigated. The gas flow swirl of the plasmatron is produced by six tangential gas inlets. The Reynolds number of the nitrogen flow through these tubes at the flow rate of Q = 10 slm amounts to about 2400, which is in the intermediate range. Under these conditions, the formation of micro-vortices can be caused by small gas flow disturbances like e.g. a tube edge. The operation of the GA plasmatron at these conditions is accompanied by the production of plasma spots at the anode surface, namely near the gas inlets. Melted and solidified metal is found in erosion traces left by plasma spots at the anode surface. It is established that melting of stainless steel cannot be caused by an axial current of I = 100 mA of plasma spots and an helical current is supposed. This assumption is confirmed by microscope images of eroded traces with toroidal melting areas. These experimental results corroborate a hypothesis of previous studies, concerning the gliding arc physics, about the formation of plasma objects with an axial magnetic field by the interaction of micro-vortices with the plasma channel. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6595/aba6a4
  • Catalytic oxidation of small organic molecules by cold plasma in solution in the presence of molecular iron complexes†
    Śmiłowicz, D. and Kogelheide, F. and Schöne, A.L. and Stapelmann, K. and Awakowicz, P. and Metzler-Nolte, N.
    Scientific Reports 10 (2020)
    The plasma-mediated decomposition of volatile organic compounds has previously been investigated in the gas phase with metal oxides as heterogeneous catalysts. While the reactive species in plasma itself are well investigated, very little is known about the influence of metal catalysts in solution. Here, we present initial investigations on the time-dependent plasma-supported oxidation of benzyl alcohol, benzaldehyde and phenol in the presence of molecular iron complexes in solution. Products were identified by HPLC, ESI-MS, FT-IR, and 1H NMR spectroscopy. Compared to metal-free oxidation of the substrates, which is caused by reactive oxygen species and leads to a mixture of products, the metal-mediated reactions lead to one product cleanly, and faster than in the metal-free reactions. Most noteworthy, even catalytic amounts of metal complexes induce these clean transformations. The findings described here bear important implications for plasma-supported industrial waste transformations, as well as for plasma-mediated applications in biomedicine, given the fact that iron is the most abundant redox-active metal in the human body. © 2020, The Author(s).
    view abstract10.1038/s41598-020-78683-7
  • Characterisation of micropores in plasma deposited SiO xfilms by means of positron annihilation lifetime spectroscopy
    Hoppe, C. and Mitschker, F. and Butterling, M. and Liedke, M.O. and De Los Arcos, T. and Awakowicz, P. and Wagner, A. and Grundmeier, G.
    Journal of Physics D: Applied Physics 53 (2020)
    The effect of average incorporated ion energy and impinging atomic oxygen flux on the structure and permeability of SiO x thin films by a microwave driven low-pressure discharge with additional radio frequency bias is studied by means of positron annihilation lifetime spectroscopy (PALS) and complementary analytical approaches. The film growth and structure were controlled by the particle fluxes. A correlation between the pore sizes and pore size distribution as measured by PALS and the adjusted plasma parameters was established. The corresponding barrier performance was measured by oxygen transmission rate and could be explained by the pore size distribution. The dominant pore size characteristic for dangling bonds within the SiO x-network was found to be in the range of 0.8 nm. The chemical composition and morphology were analysed by means of X-ray photoelectron spectroscopy, Fourier-transform infrared spectroscopy diffuse reflectance measurements and atomic force microscopy. It was observed that a combination of both an increase in incorporated energy per deposited Si atom and low oxygen to silicon ratio resulted in an enhanced cross-linking of the SiO x network and thereby led to a decrease in micropore density and to a shift of the pore size distribution function to lower values. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aba8ba
  • Characterisation of volume and surface dielectric barrier discharges in N2–O2 mixtures using optical emission spectroscopy
    Kogelheide, F. and Offerhaus, B. and Bibinov, N. and Krajinski, P. and Schücke, L. and Schulze, J. and Stapelmann, K. and Awakowicz, P.
    Plasma Processes and Polymers 17 (2020)
    A volume and a twin surface dielectric barrier discharge (VDBD and SDBD) are generated in different nitrogen–oxygen mixtures at atmospheric pressure by applying damped sinusoidal voltage waveforms with oscillation periods in the microsecond time scale. Both electrode configurations are located inside vacuum vessels and operated in a controlled atmosphere to exclude the influence of surrounding air. The discharges are characterised with different spatial and temporal resolution by applying absolutely calibrated optical emission spectroscopy in conjunction with numerical simulations and current–voltage measurements. Plasma parameters, namely the electron density and the reduced electric field, and the dissipated power are found to depend strongly on the oxygen content in the working gas mixture. Different spatial and temporal distributions of plasma parameters and dissipated power are explained by surface and residual volume charges for different O2 admixtures due to their effects on the electron recombination rate. Thus, the oxygen admixture is found to strongly influence the breakdown process and plasma conditions of a VDBD and a SDBD. © 2019 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ppap.201900126
  • Characterization of a transient spark micro-discharge in nitrogen using simultaneous two-wavelength diagnostics
    Gröger, S. and Fiebrandt, M. and Hamme, M. and Bibinov, N. and Awakowicz, P.
    Measurement Science and Technology 31 (2020)
    A transient spark micro-discharge in nitrogen is investigated between two sharpened electrodes at a pressure of 0.5 bar. The plasma parameters (gas temperature, electron density and reduced electric field) are determined using optical emission spectroscopy (OES) and numerical simulations. The gas temperature of 3500 ± 100 K is determined by the comparison of the measured and simulated rotational distributions of the photoemission spectra of neutral molecular nitrogen N2(C-B,0-0). Both direct and stepwise electron impact excitation are considered in the collision-radiative model. The rate constants for electron impact excitation processes are calculated for different electric field values using the electron velocity distribution function, which is simulated by solving the Boltzmann equation. The applied broadband echelle spectrometer is absolutely calibrated in a spectral range of 200 nm to 800 nm, using two standard light sources, a deuterium lamp and a tungsten ribbon lamp, which are certificated by the Physikalisch-Technische Bundesanstalt (PTB), Germany. With the aid of this absolutely calibrated echelle spectrometer and a microwave atmospheric plasma source operated in a nitrogen flow, the intensified charge-coupled device (ICCD) camera, provided with an in-house made optical arrangement for simultaneous two-wavelength diagnostic is calibrated. The spatial resolution of this diagnostic system under the studied plasma conditions amounts to 13 m. The accurate examination of the experimental results allows determining the dominant process of electron impact excitation of molecular nitrogen ion from ionic ground state. Applying the chosen excitation model of the nitrogen photoemission, the spatially resolved reduced electric field and the electron density are determined. This is done by using the inverse Abel transformation of the absolute intensities of molecular nitrogen bands N2(C-B,0-0) and N2 + (B-X,0-0), which were measured with the calibrated ICCD camera. The measured electric current of the micro-discharge is compared with the calculated one using the measured plasma parameters and a good coincidence is established. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6501/ab7e69
  • Conversion of volatile organic compounds in a twin surface dielectric barrier discharge
    Schücke, L. and Gembus, J.-L. and Peters, N. and Kogelheide, F. and Nguyen-Smith, R.T. and Gibson, A.R. and Schulze, J. and Muhler, M. and Awakowicz, P.
    Plasma Sources Science and Technology 29 (2020)
    A voltage and power controlled surface dielectric barrier discharge for the removal of volatile organic compounds (VOCs) from gas streams is studied by means of current-voltage measurements, flame ionization detectors, and gas chromatography-mass spectrometry (GC-MS). The discharge is generated in a defined synthetic air gas stream at atmospheric pressure by application of a damped sinusoidal voltage waveform resulting from a resonant circuit. Multiple organic compounds, namely n-butane, butanol, isobutanol, ethyl acetate, diethyl ether, and butoxyethanol, are tested at concentrations of 50, 100, 200, and 400 ppm (parts per million), as well as peak-to-peak voltages of 8 to 13 kVpp and pulse repetition frequencies of 250 to 4000 Hz. The dissipated power within the system is calculated utilizing the measured voltage and current waveforms. The conversion and absolute degradation of the VOCs are determined by flame ionization detectors. An increasing concentration of VOCs is found to increase the dissipated power marginally, suggesting a higher conductivity and higher electron densities in the plasma. Of the applied VOCs, n-butane is found to be the most resistant to the plasma treatment, while higher concentrations consistently result in a lower conversion and a higher absolute degradation across all tested compounds. Corresponding amounts of converted molecules per expended joule are given as a comparable parameter by weighting the absolute degradation with the dissipated power. Finally, specific reaction products are determined by online GC-MS, further confirming carbon dioxide (CO2) as a major reaction product, alongside a variety of less prevalent side products, depending on the structure of the original compound. The findings of this study are intended to promote the development of energy efficient processes for the purification of gas streams in both, industry and consumer market. Potential applications of the presented technique could be found in car paint shops, chemical plants, hospital ventilation systems, or air purifiers for living space. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/abae0b
  • Determination of atomic oxygen state densities in a double inductively coupled plasma using optical emission and absorption spectroscopy and probe measurements
    Fiebrandt, M. and Bibinov, N. and Awakowicz, P.
    Plasma Sources Science and Technology 29 (2020)
    A collisional radiative model for fast estimation and monitoring of atomic oxygen ground and excited state densities and fluxes in varying Ar:O2 mixtures is developed and applied in a double inductively coupled plasma source at a pressure of 5 Pa and incident power of 500 W. The model takes into account measured line intensities of 130.4 nm, 135.6 nm, 557.7 nm, and 777.5 nm, the electron densities and electron energy distribution functions determined using a Langmuir probe and multipole resonance probe as well as the state densities of the first four excited states of argon measured with the branching fraction method and compared to tunable diode laser absorption spectroscopy. The influence of cascading and self absorption is included and the validity of the used cross sections and reaction rates is discussed in detail. The determined atomic oxygen state densities are discussed for their plausibility, sources of error, and compared to other measurements. Furthermore, the results of the model are analyzed to identify the application regimes of much simpler models, which could be used more easily for process control, e.g. actinometry. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab7cbe
  • Determination of plasma parameters by spectral line broadening in an electrosurgical argon plasma
    Hillebrand, B. and Iglesias, E. and Gibson, A.R. and Bibinov, N. and Neugebauer, A. and Enderle, M. and Awakowicz, P.
    Plasma Sources Science and Technology 29 (2020)
    An electrosurgical argon plasma with a 5% admixture of molecular hydrogen is studied in order to investigate time averaged plasma parameters by optical emission spectroscopy (OES). Electron densities in the range of 1015-1016 cm-3 are determined from the Stark broadening of the time averaged line profiles of the Balmer-α and -β emission lines of hydrogen. A two-profile fit corresponding to regions of different electron densities is found to provide a better representation of the line broadening than a single profile fit. This is consistent with time resolved ICCD imaging, acquired with 150 ns time resolution, that shows strong radial gradients in the plasma emission and the asymmetry produced by the discharge arrangement. Gas temperatures are determined using two different methods. Firstly, simulated spectra for different rotational temperatures are fitted to the measured N2(C-B, 0-1) emission band originating from ambient air diffusion into the argon/hydrogen gas flow. From the best fit, rotational temperatures between 1500 K and 1800 K are inferred. These measurements are in good agreement with those inferred by the second method, which is based on the collisional broadening of the emission lines of neutral argon at 750 nm and 751 nm. This latter method may be useful for the measurement of gas temperatures when the device is used inside hollow organs during endoscopic or laparoscopic interventions, where air mixing will be limited. Therefore, the results of this study are highly relevant to applications of these devices, e.g. for controlling tissue effects and the avoidance of excessive heating. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/abc411
  • Does plasma-induced methionine degradation provide alternative reaction paths for cell death?
    Deichmöller, J. and Kogelheide, F. and Murke, S. and Hüther, D. and Schwaab, G. and Awakowicz, P. and Havenith, M.
    Journal of Physics D: Applied Physics 53 (2020)
    Cold atmospheric pressure plasma is a promising technology for surface wound healing. Its antimicrobial effect is correlated to chemical modifications of methionine (Met) caused by reactive oxygen and nitrogen species. To minimize unwanted side effects on healthy tissue it is of utmost importance to unravel the origin of the antimicrobial plasma effects. In this study, we employed confocal Raman spectroscopy on Met and Met glutathione (GSH) mixtures to obtain a chemical picture of how plasma affects Met as a function of treatment time (t = 0-600 s). We were able to observe a hitherto unknown reaction path that leads to a disulfide (MSSM) via a thiol (MSH) in addition to the well-known Met degradation route involving sulfur oxidation to methionine disulfide (Met(O)) and methionine sulfone (Met(O2)). We propose that the anti-microbial effect of plasma treatment is caused by two alternative reaction routes. The first one leads to protein damage caused by sulfur bridge formation (S-S). A second pathway is provided by MSH and dimethyl sulfoxide precursor species (detected via their characteristic Raman bands) that cause DNA damage due to strand breaks. Addition of GSH shifts the Met decay in time by 70 s while the general reaction pathways are preserved. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/ab8cea
  • Formation and behaviour of plasma spots on the surface of titanium film
    Hermanns, P. and Kogelheide, F. and Bracht, V. and Ries, S. and Krüger, F. and Böddeker, S. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 54 (2020)
    Numerous studies have shown that dielectric barrier discharge (DBD) and DBD-like plasma jets interact with a treated surface in a complex manner. Eroded traces after treatment cannot be explained by conventional plasma-surface interaction theory. The mechanisms of a controlled formation of these plasma objects is still unclear. In this work, the authors show that the formation rate and characteristics of eroded traces, treating a titanium surface, can be controlled by process design and the combination of materials used. A thin (0.45 μm) layer of titanium film is deposited onto a glass substrate and is then treated in the effluent of a non-equilibrium atmospheric pressure plasma jet (N-APPJ) operated with argon or krypton flow. Plasma spots with diameters ranging from 100-700 μm are observed using an intensified digital camera on the titanium film surface. These plasma objects are strongly inhomogeneous, forming a core with a very high current density and leave erosion holes with diameters of about 1 μm. By using krypton as a working gas, effective erosion of the titanium substrate can be shown, whereas by using argon no traces are detected. For the latter case, traces can be provoked by deposition of a thin aluminum layer on top of the titanium substrate, by creation of artificial scratches or by an additional swirling flow around the discharge. Based on the experimental results presented in this and previous papers, it is assumed that plasma spots with dense cores are produced by an interaction of micro-vortices within the plasma channel and by the formation of an extremely high axial magnetic field. This assumption is confirmed by destruction of the treated surface material, extraction of paramagnetic atoms and toroidal substrate heating, which is most likely caused by a helical current of the plasma spot. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/abc37e
  • From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
    Mai, L. and Mitschker, F. and Bock, C. and Niesen, A. and Ciftyurek, E. and Rogalla, D. and Mickler, J. and Erig, M. and Li, Z. and Awakowicz, P. and Schierbaum, K. and Devi, A.
    Small 16 (2020)
    The identification of bis-3-(N,N-dimethylamino)propyl zinc ([Zn(DMP)2], BDMPZ) as a safe and potential alternative to the highly pyrophoric diethyl zinc (DEZ) as atomic layer deposition (ALD) precursor for ZnO thin films is reported. Owing to the intramolecular stabilization, BDMPZ is a thermally stable, volatile, nonpyrophoric solid compound, however, it possesses a high reactivity due to the presence of Zn-C and Zn-N bonds in this complex. Employing this precursor, a new oxygen plasma enhanced (PE)ALD process in the deposition temperature range of 60 and 160 °C is developed. The resulting ZnO thin films are uniform, smooth, stoichiometric, and highly transparent. The deposition on polyethylene terephthalate (PET) at 60 °C results in dense and compact ZnO layers for a thickness as low as 7.5 nm with encouraging oxygen transmission rates (OTR) compared to the bare PET substrates. As a representative application of the ZnO layers, the gas sensing properties are investigated. A high response toward NO2 is observed without cross-sensitivities against NH3 and CO. Thus, the new PEALD process employing BDMPZ has the potential to be a safe substitute to the commonly used DEZ processes. © 2020 The Authors. Published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/smll.201907506
  • Fundamental Properties and Applications of Dielectric Barrier Discharges in Plasma-Catalytic Processes at Atmospheric Pressure
    Ollegott, K. and Wirth, P. and Oberste-Beulmann, C. and Awakowicz, P. and Muhler, M.
    Chemie-Ingenieur-Technik 92 (2020)
    The combination of a nonthermal plasma and a heterogeneous catalyst provides unique opportunities for chemical transformations. High densities of reactive species, such as ions, radicals or vibrationally excited molecules, are generated by electron collisions and initiate a multitude of chemical reactions in the gas phase. By shifting the reaction site from the gas phase to the surface of the catalyst, the selectivity of these reactions can be significantly enhanced. Dielectric barrier discharges (DBDs) are a promising plasma source for these kinds of applications due to their non-equilibrium conditions and their simple construction. This review provides a brief introduction to the breakdown mechanism and the various geometries of DBDs and presents several plasma-catalytic DBD applications. © 2020 The Authors. Published by Wiley-VCH GmbH
    view abstract10.1002/cite.202000075
  • HMDSO-Based Thin Plasma Polymers as Corrosion Barrier Against NaOH Solution
    Jaritz, M. and Hopmann, C. and Wilski, S. and Kleines, L. and Rudolph, M. and Awakowicz, P. and Dahlmann, R.
    Journal of Materials Engineering and Performance 29 (2020)
    HMDSO-based films with excellent corrosion barrier properties against strong alkaline solutions were deposited on chemically non-resistant SiOx barrier coatings, which were previously applied on polished gold-coated Si-Wafers and PET films for coating analysis. The plasma process parameters are seen to have a strong influence on the achievable corrosion barrier properties of the plasma polymers. Coatings, which were applied in a pulsed microwave plasma with low mean power input, exhibit a substantially higher resistance against NaOH aqueous solution in electrochemical tests than those applied in higher energy plasmas. An analysis of the coatings revealed that the great difference in chemical resistivity of the investigated coatings can be explained by their chemical composition as well as their nano-porosity and surface topography. XPS measurements indicate that a higher organic content in the films contributes to their chemical resistivity. FTIR measurements showed that an ordered Si-O-Si network with methyl groups, which promote steric shielding, lead to superior corrosion resistance. Furthermore, a correlation of protective performance and nano-porosity was found in cyclic voltammetry measurements. Coatings with good corrosion protection proved to be initially pore free and even after 30 min of exposure to NaOH, an open pore surface of only 2% can be measured. Finally, measurements of the oxygen transmission rate (OTR) of coated PET substrates showed that the barrier of a coating system comprising a non-resistant barrier layer and a protective top coat can withstand up to at least 90 min of exposure to hot NaOH solution without significant loss in barrier performance. After this, the barrier of the system is gradually reduced. To slow down this reduction process, a multilayer approach proved to be effective. © 2020, ASM International.
    view abstract10.1007/s11665-020-04821-x
  • In-situ control of microdischarge characteristics in unipolar pulsed plasma electrolytic oxidation of aluminum
    Hermanns, P. and Boeddeker, S. and Bracht, V. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 53 (2020)
    Microdischarges occurring during plasma electrolytic oxidation are the main mechanism promoting oxide growth compared to classical anodization. When the dissipated energy by microdischarges during the coating process gets too large, high-intensity discharges might occur, which are detrimental to the oxide layer. In bipolar pulsed plasma electrolytic oxidation a so called 'soft-sparking' mode limits microdischarge growth. This method is not available for unipolar pulsing and for all material combinations. In this work, the authors provide a method to control the size- and intensity distributions of microdischarges by utilizing a multivariable closed-loop control. In-situ detection of microdischarge properties by CCD-camera measurements and fast image processing algorithms are deployed. The visible size of microdischarges is controlled by adjusting the duty cycle in a closed-loop feedback scheme, utilizing a PI-controller. Uncontrolled measurements are compared to controlled cases. The microdischarge sizes are controlled to a mean value of A = 5 ˙ 10-3,mm2 and A = 7˙ 10-3, mm2, respectively. Results for controlled cases show, that size and intensity distributions remain constant over the processing time of 35 minutes. Larger, high-intensity discharges can be effectively prevented. Optical emission spectra reveal, that certain spectral lines can be influenced or controlled with this method. Calculated black body radiation fits with very good agreement to measured continuum emission spectra (T = 3200 K). Variance of microdischarge size, emission intensity and continuum radiation between consecutive measurements is reduced to a large extent, promoting uniform microdischarge and oxide layer properties. A reduced variance in surface defects can be seen in SEM measurements, after coating for 35 minutes, for controlled cases. Surface defect study shows increased number density of microdischarge impact regions, while at the same time reducing pancake diameters, implying reduced microdischarge energies compared to uncontrolled cases. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6463/ab9bbd
  • Microscale Atmospheric Pressure Plasma Jet as a Source for Plasma-Driven Biocatalysis
    Yayci, A. and Dirks, T. and Kogelheide, F. and Alcalde, M. and Hollmann, F. and Awakowicz, P. and Bandow, J.E.
    ChemCatChem 12 (2020)
    The use of a microscale atmospheric pressure plasma jet (μAPPJ) was investigated for its potential to supply hydrogen peroxide in biocatalysis. Compared to a previously employed dielectric barrier discharge (DBD), the μAPPJ offered significantly higher H2O2 production rates and better handling of larger reaction volumes. The performance of the μAPPJ was evaluated with recombinant unspecific peroxygenase from Agrocybe aegerita (rAaeUPO). Using plasma-treated buffer, no side reactions with other plasma-generated species were detected. For long-term treatment, rAaeUPO was immobilized, transferred to a rotating bed reactor, and reactions performed using the μAPPJ. The enzyme had a turnover of 36,415 mol mol−1 and retained almost full activity even after prolonged plasma treatment. Overall, the μAPPJ presents a promising plasma source for plasma-driven biocatalysis. © 2020 The Authors. Published by Wiley-VCH GmbH
    view abstract10.1002/cctc.202001225
  • Protection strategies for biocatalytic proteins under plasma treatment
    Yayci, A. and Dirks, T. and Kogelheide, F. and Alcalde, M. and Hollmann, F. and Awakowicz, P. and Bandow, J.E.
    Journal of Physics D: Applied Physics 54 (2020)
    In plasma-driven biocatalysis, enzymes are employed to carry out reactions using species generated by non-thermal plasmas as the precursors. We have previously demonstrated that this is feasible in principle, but that the approach suffers from the short lifetime of the biocatalyst under operating conditions. In this work, protection strategies were investigated to prevent the dielectric barrier discharge plasma-induced inactivation of biocatalysts, using recombinant unspecific peroxygenase from Agrocybe aegerita (rAaeUPO), one of the most promising enzymes for plasma-driven biocatalysis. Treatment in oxygen-free atmospheres did not provide any advantage over treatment in synthetic air, indicating that the detrimental reactive species did not originate from oxygen in the plasma phase. Chemical scavengers were employed to eliminate undesired reactive species, without any long-term effect on enzyme lifetime. Similarly, chaperones, including the known stress response proteins Hsp33, CnoX, and RidA did not increase the lifetime of rAaeUPO. Immobilization of the biocatalyst proved effective in preserving enzyme activity. The residual activity of rAaeUPO after plasma treatment strongly depended on the specific immobilization support. Essentially complete protection for at least 15 min of plasma exposure was achieved with an epoxy-butyl-functionalized carrier. This study presents new insights into plasma-protein interactions and plots a path forward for protecting biocatalytic proteins from plasma-mediated inactivation. © 2020 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/abb979
  • Silicon Oxide Barrier Films Deposited on Polycarbonate Substrates in Pulsed Plasmas
    Shafaei, S. and Yang, L. and Rudolph, M. and Awakowicz, P.
    Plasma Chemistry and Plasma Processing 40 (2020)
    For many applications of polycarbonate (PC) from packaging to micro-electronics improved barrier properties are necessary. In this contribution, silica thin films were deposited from hexamethyldisiloxane/oxygen (HMDSO/O2) on polycarbonate substrate in three step plasma processes by combining a microwave (MW) surface wave discharge of 2.45 GHz with an optional radio-frequency (RF) bias of 13.56 MHz. The influence of interlayer thickness, HMDSO flow and oxygen to HMDSO ratio on barrier performance for three step-coating processes was investigated. The morphology and surface properties of the coated surface of PC were studied by atomic force microscopy (AFM). The surface topography showed a silica particles distribution on the PC substrate with relatively smooth surface roughness. AFM-QNM provides more insight into the surface morphology and stiffness. The results identify the coating structure for PC film coated with and without bias. High barrier improvement of the deposited films on PC substrates was obtained after plasma silicon coating process with a barrier improvement factor up to 337. It was found that the deposition process is optimal for food packaging applications by using combined MW-RF PECVD technology. © 2019, Springer Science+Business Media, LLC, part of Springer Nature.
    view abstract10.1007/s11090-019-10049-y
  • The magnetic asymmetry effect in geometrically asymmetric capacitively coupled radio frequency discharges operated in Ar/O2
    Oberberg, M. and Berger, B. and Buschheuer, M. and Engel, D. and Wölfel, C. and Eremin, D. and Lunze, J. and Brinkmann, R.P. and Awakowicz, P. and Schulze, J.
    Plasma Sources Science and Technology 29 (2020)
    Previous studies in low pressure magnetized capacitively coupled radio frequency (RF) plasmas operated in argon with optimized geometric reactor symmetry have shown that the magnetic asymmetry effect (MAE) allows to control the particle flux energy distributions at the electrodes, the plasma symmetry, and the DC self-bias voltage by tuning the magnetron-like magnetic field adjacent to one electrode (Oberberg et al 2019 Plasma Sources Sci. Technol. 28 115021; Oberberg et al 2018 Plasma Sources Sci. Technol. 27 105018). In this way non-linear electron resonance heating (NERH) induced via the self-excitation of the plasma series resonance (PSR) was also found to be controllable. Such plasma sources are frequently used for reactive RF magnetron sputtering, but the discharge conditions used for such applications are significantly different compared to those studied previously. A high DC self-bias voltage (generated via a geometric reactor asymmetry) is required to realize a sufficiently high ion bombardment energy at the target electrode and a reactive gas must be added to deposit ceramic compound layers. Thus in this work, the MAE is investigated experimentally in a geometrically asymmetric capacitively coupled RF discharge driven at 13.56 MHz and operated in mixtures of argon and oxygen. The DC self-bias, the symmetry parameter, the time resolved RF current, the plasma density, and the mean ion energy at the grounded electrode are measured as a function of the driving voltage amplitude and the magnetic field at the powered electrode. Results obtained in pure argon discharges are compared to measurements performed in argon with reactive gas admixture. The results reveal a dominance of the geometrical over the magnetic asymmetry. The DC self-bias voltage as well as the symmetry parameter are found to be only weakly influenced by a change of the magnetic field compared to previous results obtained in a geometrically more symmetric reactor. Nevertheless, the magnetic field is found to provide the opportunity to control NERH magnetically also in geometrically asymmetric reactors. Adding oxygen does not alter these discharge properties significantly compared to a pure argon discharge. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab9b31
  • The Planar Multipole Resonance Probe: A Minimally Invasive Monitoring Concept for Plasma-Assisted Dielectric Deposition Processes
    Pohle, D. and Schulz, C. and Oberberg, M. and Awakowicz, P. and Rolfes, I.
    IEEE Transactions on Microwave Theory and Techniques 68 (2020)
    In this article, a novel minimally invasive approach to plasma monitoring in the challenging environment of dielectric deposition processes based on the planar multipole resonance probe (pMRP) is presented. By placing the sensor on the plasma-remote side of a dielectric substrate to be coated, perturbations of the process due to its presence can be significantly reduced. Since the electric field of the sensor is able to penetrate dielectric layers, a plasma supervision through the substrate is enabled. To investigate the effect of increasing coating thicknesses on the measurement performance for a broad spectrum of materials and plasma conditions, the results of extensive 3-D full-wave simulations performed with CST Microwave Studio are evaluated. Finally, real-time monitoring results of an argon-oxygen plasma during a sputter deposition with aluminum oxide on a polyethylene terephthalate (PET) film substrate together with a comparison to external process parameters are presented. The results demonstrate both the applicability of the proposed concept and its insensitivity to additional dielectric coatings. © 1963-2012 IEEE.
    view abstract10.1109/TMTT.2020.2974835
  • The role of humidity and UV-C emission in the inactivation of B. subtilis spores during atmospheric-pressure dielectric barrier discharge treatment
    Kogelheide, F. and Voigt, F. and Hillebrand, B. and Moeller, R. and Fuchs, F. and Gibson, A.R. and Awakowicz, P. and Stapelmann, K. and Fiebrandt, M.
    Journal of Physics D: Applied Physics 53 (2020)
    Experiments are performed to assess the inactivation of Bacillus subtilis spores using a non-thermal atmospheric-pressure dielectric barrier discharge. The plasma source used in this study is mounted inside a vacuum vessel and operated in controlled gas mixtures. In this context, spore inactivation is measured under varying nitrogen/oxygen and humidity content and compared to spore inactivation using ambient air. Operating the dielectric barrier discharge in a sealed vessel offers the ability to distinguish between possible spore inactivation mechanisms since different process gas mixtures lead to the formation of distinct reactive species. The UV irradiance and the ozone density within the plasma volume are determined applying spectroscopic diagnostics with neither found to fully correlate with spore inactivation. It is found that spore inactivation is most strongly correlated with the humidity content in the feed gas, implying that reactive species formed, either directly or indirectly, from water molecules are strong mediators of spore inactivation. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6463/ab77cc
  • Theoretical investigation of a miniature microwave driven plasma jet
    Klute, M. and Porteanu, H.-E. and Stefanović, I. and Heinrich, W. and Awakowicz, P. and Brinkmann, R.P.
    Plasma Sources Science and Technology 29 (2020)
    Radio frequency driven plasma jets are compact plasma sources which are used in many advanced fields such as surface engineering or biomedicine. The MMWICP (miniature micro wave ICP) is a particular variant of that device class. Unlike other plasma jets which employ capacitive coupling, the MMWICP uses the induction principle. The jet is integrated into a miniature cavity structure which realizes an LC-resonator with a high quality factor. When excited at its resonance frequency, the resonator develops a high internal current which - transferred to the plasma via induction - provides an efficient source of RF power. This work presents a theoretical model of the MMWICP. The possible operation points of the device are analyzed. Two different regimes can be identified, the capacitive E-mode with a plasma density of n e ≈ 5 × 1017 m-3, and the inductive H-mode with densities of n e 1019 m-3. The E to H transition shows a pronounced hysteresis behavior. © 2020 The Author(s). Published by IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab9483
  • Ultraviolet/vacuum-ultraviolet emission from a high power magnetron sputtering plasma with an aluminum target
    Iglesias, E.J. and Hecimovic, A. and Mitschker, F. and Fiebrandt, M. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 53 (2020)
    We report the in situ measurement of the ultraviolet/vacuum-ultraviolet (UV/VUV) emission from a plasma produced by high power impulse magnetron sputtering with aluminum target, using argon as background gas. The UV/VUV detection system is based upon the quantification of the re-emitted fluorescence from a sodium salicylate layer that is placed in a housing inside the vacuum chamber, at 11 cm from the center of the cathode. The detector is equipped with filters that allow for differentiating various spectral regions, and with a front collimating tube that provides a spatial resolution ≈ 0.5 cm. Using various views of the plasma, the measured absolutely calibrated photon rates enable to calculate emissivities and irradiances based on a model of the ionization region. We present results that demonstrate that Al+ ions are responsible for most of the VUV irradiance. We also discuss the photoelectric emission due to irradiances on the target ∼ 2 × 1018 s-1 . cm-2 produced by high energy photons from resonance lines of Ar+. © 2019 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/ab52f8
  • A numerical analysis of a microwave induced coaxial surface wave discharge fed with a mixture of oxygen and hexamethyldisiloxane for the purpose of deposition
    Kemaneci, E. and Mitschker, F. and Benedikt, J. and Eremin, D. and Awakowicz, P. and Brinkmann, R.P.
    Plasma Sources Science and Technology 28 (2019)
    A microwave induced coaxial surface wave discharge with a feeding gas mixture of oxygen and hexamethyldisiloxane used for the deposition of polymer coatings is numerically analysed by a volume-averaged zero-dimensional modelling formalism. A set of edge-to-centre ratios are analytically estimated for a self-consistent description of the positive ion and reactive neutral flux at the radial walls (Kemaneci et al 2017 J. Phys. D: Appl. Phys. 50 245203). The simulation results are compared with the measurements of a wide variety of distinct particle concentrations as well as of the electron temperature and an agreement is obtained with respect to the input power, the pressure and the oxygen to hexamethyldisiloxane flow ratios. The net charge density is dominated by Si2OC5H15 + with a negligible degree of electronegativity. Hexamethyldisiloxane is fragmented into methyl radical via the electron impact dissociation and the dissociative ionization. Large amounts of hydrocarbons, water, carbon monoxide, carbon dioxide and hydrogen molecules are produced. A significant portion of the net hydrocarbon and carbon monoxide production rates is formed by the emission from the solid surfaces due to the hydrogen and oxygen atom flux. The essential roles of C3H9SiO molecules and Si2OC5H15 + ions on the deposition process are verified. © 2019 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab3f8a
  • A stacked planar sensor concept for minimally invasive plasma monitoring
    Pohle, D. and Schulz, C. and Oberberg, M. and Friedrichs, M. and Serwa, A. and Uhlig, P. and Oberrath, J. and Awakowicz, P. and Rolfes, I.
    Asia-Pacific Microwave Conference Proceedings, APMC 2018-November (2019)
    A novel advanced design of the planar multipole resonance probe (pMRP) using LTCC-technology is investigated in this contribution. Integrated into the reactor wall, the planar sensor structure enables a minimally invasive in-situ plasma monitoring. Due to the ceramic substrate material, a substantial improved resistance against high temperatures can be achieved, extending the potential fields of application. The used multilayer structure with vertically stacked components ensures a high level of integration and further enhances the mechanical robustness leading to an industry compatible plasma sensor design. The probe is investigated within 3D electromagnetic simulations and its applicability is demonstrated by measurements in a double inductively coupled plasma (DICP). © 2018 IEICE
    view abstract10.23919/APMC.2018.8617552
  • Characterization of a nitrogen gliding arc plasmatron using optical emission spectroscopy and high-speed camera
    Gröger, S. and Ramakers, M. and Hamme, M. and Medrano, J.A. and Bibinov, N. and Gallucci, F. and Bogaerts, A. and Awakowicz, P.
    Journal of Physics D: Applied Physics 52 (2019)
    A gliding arc plasmatron (GAP), which is very promising for purification and gas conversion, is characterized in nitrogen using optical emission spectroscopy and high-speed photography, because the cross sections of electron impact excitation of N2 are well known. The gas temperature (of about 5500 K), the electron density (up to 1.5 × 1015 cm-3) and the reduced electric field (of about 37 Td) are determined using an absolutely calibrated intensified charge-coupled device (ICCD) camera, equipped with an in-house made optical arrangement for simultaneous two-wavelength diagnostics, adapted to the transient behavior of a GA channel in turbulent gas flow. The intensities of nitrogen molecular emission bands, N2(C-B,0-0) as well as (B-X,0-0), are measured simultaneously. The electron density and the reduced electric field are determined at a spatial resolution of 30 μm, using numerical simulation and measured emission intensities, applying the Abel inversion of the ICCD images. The temporal behavior of the GA plasma channel and the formation of plasma plumes are studied using a high-speed camera. Based on the determined plasma parameters, we suggest that the plasma plume formation is due to the magnetization of electrons in the plasma channel of the GAP by an axial magnetic field in the plasma vortex. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aaefe4
  • Correlated mode analysis of a microwave driven ICP source
    Porteanu, H.-E. and Stefanović, I. and Bibinov, N. and Klute, M. and Awakowicz, P. and Brinkmann, R.P. and Heinrich, W.
    Plasma Sources Science and Technology 28 (2019)
    Microwave and optical measurements are correlated to identify the mode evolution in a miniature, microwave driven, inductively coupled plasma (ICP) source. The very compact design of the source is derived from previous work (Porteanu et al 2013 Plasma Sources Sci. Technol. 22 035016). Microwave spectroscopy of the system resonances during the simultaneous microwave excitation of the plasma (‘Hot-S-Parameter’ spectroscopy) is a novel method to determine the electron density and to identify the type of coupling mode. The method corresponds directly to the kind of numerical simulations employed. The purpose of this analysis is finally to find the minimum power necessary to drive the source into the ICP mode. The efficiency of microwave energy transfer to the plasma is also discussed. Nitrogen at pressures between 50 and 1000 Pa and a gas flow of 150 sccm is used as test plasma, for which the electron density is determined. Analysis of the microwave resonance frequency shows that the electron density exceeds 1019 m−3 at 50 Pa for 11 W and at 1000 Pa for 26 W absorbed power. 3D theoretical analysis of this source confirms that at this electron density an ICP mode is present. © 2019 IOP Publishing Ltd
    view abstract10.1088/1361-6595/ab06a7
  • Determination of NO densities in a surface dielectric barrier discharge using optical emission spectroscopy
    Offerhaus, B. and Kogelheide, F. and Jalat, D. and Bibinov, N. and Schulze, J. and Stapelmann, K. and Awakowicz, P.
    Journal of Applied Physics 126 (2019)
    A new computationally assisted diagnostic to measure NO densities in atmospheric-pressure microplasmas by Optical Emission Spectroscopy (OES) is developed and validated against absorption spectroscopy in a volume Dielectric Barrier Discharge (DBD). The OES method is then applied to a twin surface DBD operated in N 2 to measure the NO density as a function of the O 2 admixture (0.1%- 1%). The underlying rate equation model reveals that NO (A 2 ς +) is primarily excited by reactions of the ground state NO (X 2 Π) with metastables N 2 (A 3 ς u +). © 2019 Author(s).
    view abstract10.1063/1.5094894
  • Dissipated electrical power and electron density in an RF atmospheric pressure helium plasma jet
    Golda, J. and Kogelheide, F. and Awakowicz, P. and Gathen, V.S.-V.D.
    Plasma Sources Science and Technology 28 (2019)
    Atmospheric pressure plasmas have great potential, especially for biomedical applications, due to the large number of reactive species produced. In particular with regard to these applications, the comparability of processes through appropriate control of plasma parameters is essential for treatment safety. Here we present a method for the operando determination of absolute absorbed power in an RF atmospheric pressure helium plasma discharge using miniaturized probes. A detailed error analysis demonstrates the reliability of the measured power values. With the help of a global model, the sheath width and electron density (4 1016-11 1016 m-3) are derived from these power measurements and compared to literature. The results and thus the validity of the electrical model are confirmed by a second, independent characterization method using optical emission spectroscopy and time-averaged imaging. © 2019 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab393d
  • Effects of the Ion to Growth Flux Ratio on the Constitution and Mechanical Properties of Cr1- x-Alx-N Thin Films
    Banko, L. and Ries, S. and Grochla, D. and Arghavani, M. and Salomon, S. and Pfetzing-Micklich, J. and Kostka, A. and Rogalla, D. and Schulze, J. and Awakowicz, P. and Ludwig, Al.
    ACS Combinatorial Science 21 (2019)
    Cr-Al-N thin film materials libraries were synthesized by combinatorial reactive high power impulse magnetron sputtering (HiPIMS). Different HiPIMS repetition frequencies and peak power densities were applied altering the ion to growth flux ratio. Moreover, time-resolved ion energy distribution functions were measured with a retarding field energy analyzer (RFEA). The plasma properties were measured during the growth of films with different compositions within the materials library and correlated to the resulting film properties such as phase, grain size, texture, indentation modulus, indentation hardness, and residual stress. The influence of the ion to growth flux ratio on the film properties was most significant for films with high Al-content (xAl = 50 at. %). X-ray diffraction with a 2D detector revealed hcp-AlN precipitation starting from Al-concentration xAl ≥ 50 at. %. This precipitation might be related to the kinetically enhanced adatom mobility for a high ratio of ions per deposited atoms, leading to strong intermixing of the deposited species. A structure zone transition, induced by composition and flux ratio JI/JG, from zone T to zone Ic structure was observed which hints toward the conclusion that the combination of increasing flux ratio and Al-concentration lead to opposing trends regarding the increase in homologous temperature. © 2019 American Chemical Society.
    view abstract10.1021/acscombsci.9b00123
  • Inductively Coupled Plasma at Atmospheric Pressure, a Challenge for Miniature Devices
    Porteanu, H.-E. and Stefanovic, I. and Klute, M. and Brinkmann, R.-P. and Awakowicz, P. and Heinrich, W.
    IEEE International Pulsed Power Conference 2019-June (2019)
    Plasma jets belong to the category remote plasma. This means that the discharge conditions and the chemical effect on samples can be tuned separately, this being a big advantage compared to standard low-pressure reactors. The inductive coupling brings the advantage of a pure and dense plasma. The microwave excitation allows furthermore miniaturization and generation of low temperature plasmas. The present paper shows the state of the art of the research on such sources, demonstrating their work up to atmospheric pressure. © 2019 IEEE.
    view abstract10.1109/PPPS34859.2019.9009698
  • Influence of adjacent defects on the permeability of plasmapolymer coated plastics
    Hopmann, C. and Wilski, S. and Wipperfuerth, J. and Mitschker, F. and Awakowicz, P. and Dahlmann, R.
    AIP Conference Proceedings 2139 (2019)
    Permeation through plastics is an important factor in food and medical packaging, or in solar technology. The cause for usage of plastics is the variety of advantages, but on the other hand, the high permeability for gases or vapours has to be taken into account to reduce the mass transport. For this reason, the material is often coated with high barrier layers, e.g. SiOx-layer, that effectively reduces the permeation of gases and vapours. One of the established technologies to realise barrier coatings is the PECVD technique, in which a thin film of a few ten nanometres is generated by depositing the barrier film from the gas state to the solid state on the polymer. In theory, the permeation reduction should be much higher than typically measured. This is caused by defects in the barrier layer that arise by reasons of the film growing process during PECVD or contaminations on the polymer. A lot of research has been done to describe the mechanisms of permeation through thin films under consideration of the influence of adjacent defects. Typically a distance was defined under which an influence occur, but the influence itself was not described. In this paper, the focus is on the influence of adjacent defects. Assuming an amorphous polymer the permeation can be described by the Fick's-Law. This requires knowledge of the defect and defect-size distributions, which are predetermined by etching methods and subsequent SEM imaging. A critical defect spacing parameter and an attenuation function will be derived from the results to describe the influence of adjacent defects. The results show that a superposition principle can be applied. Depending on the number of adjacent defects and their size the permeability of an individually defect can be described. In the future, the permeation through defects will be described by a molecular dynamic approach. The output of the first step of the simulation will be a time and defect size dependent concentration function, which serves as input for here performed macroscopic simulation. © 2019 American Institute of Physics Inc.. All rights reserved.
    view abstract10.1063/1.5121667
  • Influence of spore size distribution, gas mixture, and process time on the removal rate of B. subtilis spores in low-pressure plasmas
    Fiebrandt, M. and Roggendorf, J. and Moeller, R. and Awakowicz, P.
    Journal of Physics D: Applied Physics 52 (2019)
    The size reduction of B. subtilis spores due to removal of biological material in low-pressure plasmas was analyzed in a double inductively coupled plasma system. Argon, nitrogen, and oxygen at 5 Pa were used as feed gases to investigate the impact of different reactive species and high energy radiation on the process. The spore size was determined using scanning electron microscopy images and the length of thousands of spores were evaluated using an automated algorithm. By applying a statistical test the precision of the mean spore size determination was increased and the applicability of a normal distribution to describe the spore size distribution was demonstrated. The removal rate was found to vary depending on the process gas as well as on the process time and was found to be largest with a mixture of nitrogen and oxygen and lowest in pure argon. With increasing treatment time the removal rate decreases significantly and tends to stop in all gases and inhibits the complete removal of spores and potentially hazardous biological material. Possible explanations for this effect are the aggregation of non-volatile compounds or the formation of cross-linked layers which significantly reduce the etching efficiency. © 2019 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aafdcf
  • Ion energy control via the electrical asymmetry effect to tune coating properties in reactive radio frequency sputtering
    Ries, S. and Banko, L. and Hans, M. and Primetzhofer, D. and Schneider, J.M. and Ludwig, Al. and Awakowicz, P. and Schulze, J.
    Plasma Sources Science and Technology 28 (2019)
    A knowledge-based understanding of the plasma-surface-interaction with the aim to precisely control (reactive) sputtering processes for the deposition of thin films with tailored and reproducible properties is highly desired for industrial applications. In order to understand the effect of plasma parameter variations on the film properties, a single plasma parameter needs to be varied, while all other process and plasma parameters should remain constant. In this work, we use the Electrical Asymmetry Effect in a multi-frequency capacitively coupled plasma to control the ion energy at the substrate without affecting the ion-to-growth flux ratio by adjusting the relative phase between two consecutive driving harmonics and their voltage amplitudes. Measurements of the ion energy distribution function and ion flux at the substrate by a retarding field energy analyzer combined with the determined deposition rate R d for a reactive Ar/N2 (8:1) plasma at 0.5 Pa show a possible variation of the mean ion energy at the substrate E m ig within a range of 38 and 81 eV that allows the modification of the film characteristics at the grounded electrode, when changing the relative phase shift θ between the applied voltage frequencies, while the ion-to-growth flux ratio Γig/Γgr can be kept constant. AlN thin films are deposited and exhibit an increase in compressive film stress from -5.8 to -8.4 GPa as well as an increase in elastic modulus from 175 to 224 GPa as a function of the mean ion energy. Moreover, a transition from the preferential orientation (002) at low ion energies to the (100), (101) and (110) orientations at higher ion energies is observed. In this way, the effects of the ion energy on the growing film are identified, while other process relevant parameters remain unchanged. © 2019 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab504b
  • Magnetic control of nonlinear electron resonance heating in a capacitively coupled radio frequency discharge
    Oberberg, M. and Engel, D. and Berger, B. and Wölfel, C. and Eremin, D. and Lunze, J. and Brinkmann, R.P. and Awakowicz, P. and Schulze, J.
    Plasma Sources Science and Technology 28 (2019)
    In magnetized capacitively coupled radio frequency (RF) plasmas operated at low pressure, the magnetic asymmetry effect (MAE) provides the opportunity to control the discharge symmetry, the DC self-bias, and the ion energy distribution functions at boundary surfaces by adjusting a magnetic field, that is oriented parallel to the electrodes, at one electrode, while leaving it constant at the opposite electrode. This effect is caused by the presence of different plasma densities in regions of different magnetic field strength. Here, based on a balanced magnetron magnetic field configuration at the powered electrode, we demonstrate that the magnetic control of the plasma symmetry allows to tailor the generation of high frequency oscillations in the discharge current induced by the self-excitation of the plasma series resonance (PSR) through adjusting the magnetic field adjacent to the powered electrode. Experimental current measurements performed in an argon discharge at 1 Pa as well as results of an equivalent circuit model show that nonlinear electron resonance heating can be switched on and off in this way. Moreover, the self-excitation of the PSR can be shifted in time (within the RF period) and in space (from one electrode to the other) by controlling the discharge symmetry via adjusting the magnetic field. © 2019 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/ab53a0
  • Minimally Invasive Supervision of Plasma-assisted Dielectric Deposition Processes
    Pohle, D. and Schulz, C. and Oberberg, M. and Awakowicz, P. and Rolfes, I.
    IMWS-AMP 2019 - 2019 IEEE MTT-S International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications (2019)
    This paper introduces a minimally invasive in-situ plasma monitoring concept suited for the challenging conditions in dielectric deposition processes. Based on the so-called stacked planar multipole resonance probe (spMRP), the sensor is placed directly on the plasma-remote side of a dielectric substrate to be coated, thus minimizing its influence on the process. The sensor's electric field penetrates both substrate and deposited dielectric layers and couples into the plasma. This enables an effective supervision of the plasma parameters required for process control at the point of highest interest. The effect of increasing coating thicknesses on the measurement performance is investigated within 3D electromagnetic field simulations. Final measurements in an argon-oxygen plasma depositing aluminium oxide confirm both the general suitability of the proposed concept as well as the insensitivity to additional dielectric coatings. © 2019 IEEE.
    view abstract10.1109/IMWS-AMP.2019.8880124
  • Plasma state control of reactive sputter processes
    Woelfel, C. and Oberberg, M. and Awakowicz, P. and Lunze, J.
    International Conference of Control, Dynamic Systems, and Robotics (2019)
    Nonlinear reactive sputter processes are indispensable for the deposition of functional thin film layers. As the coating process is driven by a low pressure plasma the plasma state affects the thin film properties. The process behavior has specific properties. It is unstable, one specific input value can lead to different values of the plasma state and the same plasma state can be achieved by different input values. This unstable and ambiguous behavior requires a control system, which consists of a stabilizing controller, an estimation unit and a feedforward controller. In this paper, a design method for the plasma state control based of an input/output-model is proposed. Experiments show the effectiveness of the proposed control method. © 2019, Avestia Publishing.
    view abstract10.11159/cdsr19.107
  • Potential Precursor Alternatives to the Pyrophoric Trimethylaluminium for the Atomic Layer Deposition of Aluminium Oxide
    Mai, L. and Boysen, N. and Zanders, D. and de los Arcos, T. and Mitschker, F. and Mallick, B. and Grundmeier, G. and Awakowicz, P. and Devi, A.
    Chemistry - A European Journal 25 (2019)
    New precursor chemistries for the atomic layer deposition (ALD) of aluminium oxide are reported as potential alternatives to the pyrophoric trimethylaluminium (TMA) which is to date a widely used Al precursor. Combining the high reactivity of aluminium alkyls employing the 3-(dimethylamino)propyl (DMP) ligand with thermally stable amide ligands yielded three new heteroleptic, non-pyrophoric compounds [Al(NMe2)2(DMP)] (2), [Al(NEt2)2(DMP)] (3, BDEADA) and [Al(NiPr2)2(DMP)] (4), which combine the properties of both ligand systems. The compounds were synthesized and thoroughly chemically characterized, showing the intramolecular stabilization of the DMP ligand as well as only reactive Al−C and Al−N bonds, which are the key factors for the thermal stability accompanied by a sufficient reactivity, both being crucial for ALD precursors. Upon rational variation of the amide alkyl chains, tunable and high evaporation rates accompanied by thermal stability were found, as revealed by thermal evaluation. In addition, a new and promising plasma enhanced (PE)ALD process using BDEADA and oxygen plasma in a wide temperature range from 60 to 220 °C is reported and compared to that of a modified variation of the TMA, namely [AlMe2(DMP)] (DMAD). The resulting Al2O3 layers are of high density, smooth, uniform, and of high purity. The applicability of the Al2O3 films as effective gas barrier layers (GBLs) was successfully demonstrated, considering that coating on polyethylene terephthalate (PET) substrates yielded very good oxygen transmission rates (OTR) with an improvement factor of 86 for a 15 nm film by using DMAD and a factor of 25 for a film thickness of just 5 nm by using BDEDA compared to bare PET substrates. All these film attributes are of the same quality as those obtained for the industrial precursor TMA, rendering the new precursors safe and potential alternatives to TMA. © 2019 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/chem.201900475
  • Study on Chemical Modifications of Glutathione by Cold Atmospheric Pressure Plasma (Cap) Operated in Air in the Presence of Fe(II) and Fe(III) Complexes
    Śmiłowicz, D. and Kogelheide, F. and Stapelmann, K. and Awakowicz, P. and Metzler-Nolte, N.
    Scientific Reports 9 (2019)
    Cold atmospheric pressure plasma is an attractive new research area in clinical trials to treat skin diseases. However, the principles of plasma modification of biomolecules in aqueous solutions remain elusive. It is intriguing how reactive oxygen and nitrogen species (RONS) produced by plasma interact on a molecular level in a biological environment. Previously, we identified the chemical effects of dielectric barrier discharges (DBD) on the glutathione (GSH) and glutathione disulphide (GSSG) molecules as the most important redox pair in organisms responsible for detoxification of intracellular reactive species. However, in the human body there are also present redox-active metals such as iron, which is the most abundant transition metal in healthy humans. In the present study, the time-dependent chemical modifications on GSH and GSSG in the presence of iron(II) and iron(III) complexes caused by a dielectric barrier discharge (DBD) under ambient conditions were investigated by IR spectroscopy, mass spectrometry and High Performance Liquid Chromatography (HPLC). HPLC chromatograms revealed one clean peak after treatment of both GSH and GSSH with the dielectric barrier discharge (DBD) plasma, which corresponded to glutathione sulfonic acid GSO3H. The ESI-MS measurements confirmed the presence of glutathione sulfonic acid. In our experiments, involving either iron(II) or iron(III) complexes, glutathione sulfonic acid GSO3H appeared as the main oxidation product. This is in sharp contrast to GSH/GSSG treatment with DBD plasma in the absence of metal ions, which gave a wild mixture of products. Also interesting, no nitrosylation of GSH/GSSG was oberved in the presence of iron complexes, which seems to indicate a preferential oxygen activation chemistry by this transition metal ion. © 2019, The Author(s).
    view abstract10.1038/s41598-019-53538-y
  • The molecular chaperone Hsp33 is activated by atmospheric-pressure plasma protecting proteins from aggregation
    Krewing, M. and Stepanek, J.J. and Cremers, C. and Lackmann, J.-W. and Schubert, B. and Müller, A. and Awakowicz, P. and Leichert, L.I.O. and Jakob, U. and Bandow, J.E.
    Journal of the Royal Society Interface 16 (2019)
    Non-equilibrium atmospheric-pressure plasmas are an alternative means to sterilize and disinfect. Plasma-mediated protein aggregation has been identified as one of the mechanisms responsible for the antibacterial features of plasma. Heat shock protein 33 (Hsp33) is a chaperone with holdase function that is activated when oxidative stress and unfolding conditions coincide. In its active form, it binds unfolded proteins and prevents their aggregation. Here we analyse the influence of plasma on the structure and function of Hsp33 of Escherichia coli using a dielectric barrier discharge plasma. While most other proteins studied so far were rapidly inactivated by atmospheric-pressure plasma, exposure to plasma activated Hsp33. Both, oxidation of cysteine residues and partial unfolding of Hsp33 were observed after plasma treatment. Plasma-mediated activation of Hsp33 was reversible by reducing agents, indicating that cysteine residues critical for regulation of Hsp33 activitywere not irreversibly oxidized. However, the reduction yielded a protein that did not regain its original fold. Nevertheless, a second round of plasma treatment resulted again in a fully active protein that was unfolded to an even higher degree. These conformational states were not previously observed after chemical activation with HOCl. Thus, although we could detect the formation of HOCl in the liquid phase during plasma treatment, we conclude that other species must be involved in plasma activation of Hsp33. E. coli cells over-expressing the Hsp33-encoding gene hslO from a plasmid showed increased survival rates when treated with plasma while an hslO deletion mutant was hypersensitive emphasizing the importance of protein aggregation as an inactivation mechanism of plasma. © 2019 The Author(s) Published by the Royal Society. All rights reserved.
    view abstract10.1098/rsif.2018.0966
  • Thin plasma polymerised coatings for corrosion protection against strong alkaline solutions
    Jaritz, M. and Hopmann, C. and Wilski, S. and Kleines, L. and Rudolph, M. and Awakowicz, P. and Dahlmann, R.
    Surface and Coatings Technology 374 (2019)
    Thin plasma polymers were applied on gold- and aluminium substrates using low pressure microwave- and radiofrequency-excited hexamethyldisilazane (HMDSN) plasma. The corrosion resistance properties of these coatings against sodium hydroxide solution (NaOH) was characterised by means of time resolved electrochemical impedance spectroscopy (EIS) and light microscopy. The evaluated resistance values were correlated with coating topography, chemical composition, wetting properties, and morphology with particular focus on porosity. Coating porosity was determined by using cyclic voltammetry (CV) and light microscopy. The topography and chemistry of the coatings were characterised by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The wetting properties were determined by optical contact angle (OCA) measurements. It is shown that the plasma polymer's resistance against NaOH can be greatly increased by lowering the energy input during the deposition process. This can be attributed to the strong correlation between porosity and resistivity: low energy input during plasma deposition leads to the formation of smaller and more uniform particles in the plasma bulk and possibly a Stranski–Krastanov growth of the layers, resulting in a smoother coating topography and lower nano-porosity. A more dense and compact coating morphology leads to a better corrosion protection performance. © 2019 Elsevier B.V.
    view abstract10.1016/j.surfcoat.2019.05.069
  • A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
    Gebhard, M. and Mitschker, F. and Hoppe, C. and Aghaee, M. and Rogalla, D. and Creatore, M. and Grundmeier, G. and Awakowicz, P. and Devi, A.
    Plasma Processes and Polymers 15 (2018)
    A combinatorial approach to deposit gas barrier layers (GBLs) on polyethylene terephthalate (PET) by means of plasma-enhanced chemical vapor deposition (PECVD) and plasma-enhanced atomic layer deposition (PEALD) is presented. Thin films of SiOx and SiOxCyHz obtained from PECVD were grown either subsequently on a PEALD seeding layer (SiO2) or were capped by ultrathin PEALD films of Al2O3 or SiO2. To study the impact of PEALD layers on the overall GBL performance, PECVD coatings with high macro defect densities and low barrier efficiency with regard to the oxygen transmission rate (OTR) were chosen. PEALD seeding layers demonstrated the ability to influence the subsequent PECVD growth in terms of the lower macro defect density (9 macro-defects mm−2) and improved barrier performance (OTR = 0.8 cm3 m−2 day−1), while the PEALD capping-route produced GBLs free of macro-defects. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ppap.201700209
  • Adhesion of plasma-deposited silicon oxide barrier layers on PDMS containing polypropylene
    Hoppe, C. and Mitschker, F. and Awakowicz, P. and Kirchheim, D. and Dahlmann, R. and de los Arcos, T. and Grundmeier, G.
    Surface and Coatings Technology 335 (2018)
    The adhesion of SiOx coatings deposited by plasma-enhanced chemical vapor deposition onto injection-molded polypropylene (PP) was investigated as function of polypropylene surface Si enrichment. The PP was either used in its original state or was modified by a by-mixture with small amounts of polydimethylsiloxane (PDMS) in the extrusion process. The substrates where characterized by X-ray photoelectron spectroscopy, attenuated infrared reflection and time of flight spectroscopy; it was seen that the PP/PDMS substrates showed a Si-enriched top layer about 1 nm thick. The adhesion of the SiOx coatings to the PP/PDMS substrates was greatly enhanced with respect to the non-functionalized PP, which shows that adhesion of plasma-deposited SiOx layers to polymer substrates can be improved without the need to deposit intermediate adhesion layers, and without the need to include a plasma pre-treatment step into the process. © 2017 Elsevier B.V.
    view abstract10.1016/j.surfcoat.2017.12.015
  • An advanced high-temperature stable multipole resonance probe for industry compatible plasma diagnostics
    Pohle, D. and Schulz, C. and Rolfes, I. and Oberberg, M. and Awakowicz, P. and Serwa, A. and Uhlig, P.
    GeMiC 2018 - 2018 German Microwave Conference 2018-January (2018)
    In this contribution the development of an advanced, high-temperature stable plasma sensor based on the multipole resonance probe (MRP) is presented. Using low temperature co-fired ceramics (LTCC) as substrate material, together with a multilayer structure, provides resistance against high temperatures as well as a sufficient mechanical stability. Therefore, the sensor is applicable as a robust measurement tool in a wide field of industrial plasma processes. The ability of the probe to determine the electron density of the plasma as well as the collision frequency of the electrons is investigated by extensive 3D electromagnetic simulations. Measurements in a double inductively coupled plasma (DICP) reactor using different gas compositions with neutral gas temperatures exceeding 500° C confirm the suitability of the probe for a precise plasma monitoring at high temperatures. © 2018 IMA.
    view abstract10.23919/GEMIC.2018.8335073
  • Carbon doping - A key for the substitute of thoriated tungsten
    Traxler, H. and Wesemann, I. and Knabl, W. and Nilius, M. and Morkel, M. and Höbing, T. and Mentel, J. and Awakowicz, P.
    International Journal of Refractory Metals and Hard Materials 74 (2018)
    view abstract10.1016/j.ijrmhm.2018.02.016
  • Combined experimental and theoretical description of direct current magnetron sputtering of Al by Ar and Ar/N2 plasma
    Trieschmann, J. and Ries, S. and Bibinov, N. and Awakowicz, P. and Mráz, S. and Schneider, J.M. and Mussenbrock, T.
    Plasma Sources Science and Technology 27 (2018)
    Direct current magnetron sputtering of Al by Ar and Ar/N2 low pressure plasmas was characterized by experimental and theoretical means in a unified consideration. Experimentally, the plasmas were analyzed by optical emission spectroscopy, while the film deposition rate was determined by weight measurements and laser optical microscopy, and the film composition by energy dispersive x-ray spectroscopy. Theoretically, a global particle and power balance model was used to estimate the electron temperature T e and the electron density n e of the plasma at constant discharge power. In addition, the sputtering process and the transport of the sputtered atoms were described using Monte Carlo models - TRIDYN and dsmcFoam, respectively. Initially, the non-reactive situation is characterized based on deposition experiment results, which are in agreement with predictions from simulations. Subsequently, a similar study is presented for the reactive case. The influence of the N2 addition is found to be twofold, in terms of (i) the target and substrate surface conditions (e.g., sputtering, secondary electron emission, particle sticking) and (ii) the volumetric changes of the plasma density n e governing the ion flux to the surfaces (e.g., due to additional energy conversion channels). It is shown that a combined experimental/simulation approach reveals a physically coherent and, in particular, quantitative understanding of the properties (e.g., electron density and temperature, target surface nitrogen content, sputtered Al density, deposited mass) involved in the deposition process. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/aac23e
  • Comparative study on the deposition of silicon oxide permeation barrier coatings for polymers using hexamethyldisilazane (HMDSN) and hexamethyldisiloxane (HMDSO)
    Mitschker, F. and Schücke, L. and Hoppe, C. and Jaritz, M. and Dahlmann, R. and De Los Arcos, T. and Hopmann, C. and Grundmeier, G. and Awakowicz, P.
    Journal of Physics D: Applied Physics 51 (2018)
    The effect of the selection of hexamethyldisiloxane (HMDSO) and hexamethyldisilazane (HMDSN) as a precursor in a microwave driven low pressure plasma on the deposition of silicon oxide barrier coatings and silicon based organic interlayers on polyethylene terephthalate (PET) and polypropylene (PP) substrates is investigated. Mass spectrometry is used to quantify the absolute gas density and the degree of depletion of neutral precursor molecules under variation of oxygen admixture. On average, HMDSN shows a smaller density, a higher depletion and the production of smaller fragments. Subsequently, this is correlated with barrier performance and chemical structure as a function of barrier layer thickness and oxygen admixture on PET. For this purpose, the oxygen transmission rate (OTR) is measured and Fourier transformed infrared (FTIR) spectroscopy as well as x-ray photoelectron spectroscopy (XPS) is performed. HMDSN based coatings exhibit significantly higher barrier performances for high admixtures of oxygen (200 sccm). In comparison to HMDSO based processes, however, a higher supply of oxygen is necessary to achieve a sufficient degree of oxidation, cross-linking and, therefore, barrier performance. FTIR and XPS reveal a distinct carbon content for low oxygen admixtures (10 and 20 sccm) in case of HMDSN based coatings. The variation of interlayer thickness also reveals significantly higher OTR for HMDSO based coatings on PET and PP. Barrier performance of HMDSO based coatings improves with increasing interlayer thickness up to 10 nm for PET and PP. HMDSN based coatings exhibit a minimum of OTR without interlayer on PP and for 2 nm interlayer thickness on PET. Furthermore, HMDSN based coatings show distinctly higher bond strengths to the PP substrate. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aac0ab
  • Experimental investigations of the magnetic asymmetry effect in capacitively coupled radio frequency plasmas
    Oberberg, M. and Kallahn, J. and Awakowicz, P. and Schulze, J.
    Plasma Sources Science and Technology 27 (2018)
    The electrical asymmetry effect allows control of the discharge symmetry, the DC self-bias, and charged particle energy distribution functions electrically by driving a capacitive radio frequency discharge with multiple consecutive harmonics with fixed, but adjustable relative phases. Recently, Trieschmann et al (2013 J. Phys. D: Appl. Phys. 46 084016) and Yang et al (2017 Plasma Process. Polym. 14 1700087; 2018 Plasma Sources Sci. Technol. 27 035008) computationally predicted that the discharge symmetry can also be controlled magnetically via the magnetic asymmetry effect (MAE). By particle-in-cell simulations they demonstrated that a magnetic field, that is parallel to the electrodes and inhomogeneous in the direction perpendicular to the electrodes, induces a discharge asymmetry due to different ion densities adjacent to both electrodes. This, in turn, is predicted to lead to the generation of a DC self-bias as a function of the difference of the magnetic field at both electrodes. In this way the MAE should allow control of the mean ion energy at both electrodes as a function of the magnetic field configuration. Here, we present the first experimental investigation of the MAE. In a low pressure discharge operated in argon at 13.56 MHz, we use a magnetron-like magnetic field configuration at the powered electrode, which leads to an inhomogeneous profile of the magnetic field perpendicular to the electrodes. By measuring the DC self-bias and the ion flux-energy distribution function at the grounded electrode as a function of the magnetic field strength at the powered electrode, the driving voltage amplitude and the neutral gas pressure we experimentally verify the concept of the MAE and demonstrate this technology to be a powerful method to control the discharge symmetry and process relevant energy distribution functions. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/aae199
  • Improved homogeneity of plasma and coating properties using a lance matrix gas distribution in MW-PECVD
    Kirchheim, D. and Wilski, S. and Jaritz, M. and Mitschker, F. and Oberberg, M. and Trieschmann, J. and Banko, L. and Brochhagen, M. and Schreckenberg, R. and Hopmann, C. and Böke, M. and Benedikt, J. and de los Arcos, T. and Grundmeier, G. and Grochla, D. and Ludwig, Al. and Mussenbrock, T. and Brinkmann, R.P. and Awakowicz, P. and Dahlmann, R.
    Journal of Coatings Technology and Research (2018)
    Plasma reactors for the application of silicon oxide coatings (SiOx) are often customized to optimize the processes regarding substrate properties and targeted functionalities. The design of these reactors is often based on qualitative considerations. This paper evaluates the use of a numerical, free simulation software for continuous mechanical problems (OpenFOAM) as a tool to evaluate reactor design options. As demonstrator for this purpose serves a given reactor for large-area pulsed microwave plasmas with a precursor inlet in the form of a shower ring. Previous results indicate that the shower ring may lead to an inhomogeneity in plasma and coatings properties along the substrate surface. Thus, a new precursor inlet design shall be developed. For this, the distribution of the process gases in the reactor for a variety of gas inlet designs and gas flows was simulated and a design chosen based on the results. The reactor was modified accordingly, and the simulations correlated with experimental results of plasma and coating properties. The results show that, despite many simplifications, a simulation of the neutral gas distribution using an open-access software can be a viable tool to support reactor and process design development. © 2018, American Coatings Association.
    view abstract10.1007/s11998-018-0138-4
  • Inactivation of B. subtilis spores by low pressure plasma - Influence of optical filters and photon/particle fluxes on the inactivation efficiency
    Fiebrandt, M. and Hillebrand, B. and Lackmann, J.-W. and Raguse, M. and Moeller, R. and Awakowicz, P. and Stapelmann, K.
    Journal of Physics D: Applied Physics 51 (2018)
    Inactivation experiments were performed with Bacillus subtilis spores in a low pressure double inductively coupled plasma (DICP) system. Argon, nitrogen and oxygen at 5 Pa were used as feed gas to change the emission spectrum in the range of 100 nm to 400 nm, as well as between radical and metastable densities. Optical filters were applied, to block particles and selected wavelengths from the spores. By determining absolute photon fluxes, the sporicidal efficiency of various wavelength ranges was evaluated. The results showed good agreement with other plasma experiments, as well as with monochromatic light inactivation experiments from a synchrotron. The findings indicated that the inactivation rate constants of broadband plasma emission and monochromatic light were identical, and that no synergistic effect exists. Furthermore, the influence of radicals, ions and metastables on the inactivation efficiency was of minor importance in the set-up used, and radiation was the main reason for spore inactivation. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa9f0a
  • Influence of average ion energy and atomic oxygen flux per Si atom on the formation of silicon oxide permeation barrier coatings on PET
    Mitschker, F. and Wißing, J. and Hoppe, C. and De Los Arcos, T. and Grundmeier, G. and Awakowicz, P.
    Journal of Physics D: Applied Physics 51 (2018)
    The respective effect of average incorporated ion energy and impinging atomic oxygen flux on the deposition of silicon oxide (SiOx) barrier coatings for polymers is studied in a microwave driven low pressure discharge with additional variable RF bias. Under consideration of plasma parameters, bias voltage, film density, chemical composition and particle fluxes, both are determined relative to the effective flux of Si atoms contributing to film growth. Subsequently, a correlation with barrier performance and chemical structure is achieved by measuring the oxygen transmission rate (OTR) and by performing x-ray photoelectron spectroscopy. It is observed that an increase in incorporated energy to 160 eV per deposited Si atom result in an enhanced cross-linking of the SiOx network and, therefore, an improved barrier performance by almost two orders of magnitude. Furthermore, independently increasing the number of oxygen atoms to 10 500 per deposited Si atom also lead to a comparable barrier improvement by an enhanced cross-linking. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aab1dd
  • Model approximation and stabilization of reactive sputter processes
    Woelfel, C. and Bockhorn, D. and Awakowicz, P. and Lunze, J.
    Journal of Process Control (2018)
    The aim of this paper is to present a control design method for nonlinear reactive sputter processes to increase the process speed and to facilitate the deposition of stoichiometric thin films. A physical model originating the fields of thin films science and vacuum science is analyzed to develop a control-oriented model, which describes the process with respect to a reactive gas as input and the pressure as output. The model parameters are identified by experiments. A control design method is proposed to stabilize the unstable operating points of the process. Experiments for the validation of the model and the controller are given. They show that reactive sputter processes can be modeled with sufficient accuracy by a first-order nonlinear differential equation and can be controlled by a linear feedback controller. © 2018 Elsevier Ltd
    view abstract10.1016/j.jprocont.2018.06.009
  • Neural network based linearization and control of sputter processes
    Woelfel, C. and Kockmann, S. and Awakowicz, P. and Lunze, J.
    2017 Asian Control Conference, ASCC 2017 2018-January (2018)
    A model-based design method is developed to control nonlinear multiple-input multiple-output sputter processes. The method consists of three control structures. Artificial neural networks are identified to decouple the subsystems and linearize the plant. Local linear controllers are designed to ensure set-point following of the closed-loop system with the desired performance specifications. A gain-scheduled controller is proposed to compensate the nonlinear influence of an additional actuator system. The robustness of the control loops is discussed. Experiments demonstrate the effectiveness of the controller. © 2017 IEEE.
    view abstract10.1109/ASCC.2017.8287626
  • PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
    Gebhard, M. and Mai, L. and Banko, L. and Mitschker, F. and Hoppe, C. and Jaritz, M. and Kirchheim, D. and Zekorn, C. and De Los Arcos, T. and Grochla, D. and Dahlmann, R. and Grundmeier, G. and Awakowicz, P. and Ludwig, Al. and Devi, A.
    ACS Applied Materials and Interfaces 10 (2018)
    A study on the plasma-enhanced atomic layer deposition of amorphous inorganic oxides SiO2 and Al2O3 on polypropylene (PP) was carried out with respect to growth taking place at the interface of the polymer substrate and the thin film employing in situ quartz-crystal microbalance (QCM) experiments. A model layer of spin-coated PP (scPP) was deposited on QCM crystals prior to depositions to allow a transfer of findings from QCM studies to industrially applied PP foil. The influence of precursor choice (trimethylaluminum (TMA) vs [3-(dimethylamino)propyl]-dimethyl aluminum (DMAD)) and of plasma pretreatment on the monitored QCM response was investigated. Furthermore, dyads of SiO2/Al2O3, using different Al precursors for the Al2O3 thin-film deposition, were investigated regarding their barrier performance. Although the growth of SiO2 and Al2O3 from TMA on scPP is significantly hindered if no oxygen plasma pretreatment is applied to the scPP prior to depositions, the DMAD process was found to yield comparable Al2O3 growth directly on scPP similar to that found on a bare QCM crystal. From this, the interface formed between the Al2O3 and the PP substrate is suggested to be different for the two precursors TMA and DMAD due to different growth modes. Furthermore, the residual stress of the thin films influences the barrier properties of SiO2/Al2O3 dyads. Dyads composed of 5 nm Al2O3 (DMAD) + 5 nm SiO2 exhibit an oxygen transmission rate (OTR) of 57.4 cm3 m-2 day-1, which correlates with a barrier improvement factor of 24 against 5 when Al2O3 from TMA is applied. © 2018 American Chemical Society.
    view abstract10.1021/acsami.7b14916
  • Plasmatechnik 4.0: Stand der Technik, Entwicklungen und Erwartungen
    Ferse, K. and Awakowicz, P. and Beck, U. and Brand, C. and Engelstädter, J.P. and Fiedler, W. and Foest, R. and Kersten, H. and Lemmer, O. and Schäfer, H.-J. and Schwock, A.
    Vakuum in Forschung und Praxis 30 (2018)
    Plasma Technology 4.0 – State of the art, developments and expectations. New technologies offer great opportunities for plasma applications. This fact is also known by the players in surface technology who deal intensively with these current topics. In everyday practice there are already good examples of integrable IoT services for process technology right through to networked business processes. The expectations are high and the concerns as well. Beginning with social aspects of the man-machine interface through standardization to cyber security there are numerous topics that are discussed in the community. The potential of the plasma technology drives the stakeholders and inspires to more and more new research projects for intelligent process diagnostics and process control. © 2018, Wiley-VCH Verlag. All rights reserved.
    view abstract10.1002/vipr.201800697
  • Progression of the Multipole Resonance Probe: Advanced Plasma Sensors Based on LTCC-Technology
    Pohle, D. and Schulz, C. and Oberberg, M. and Serwa, A. and Uhlig, P. and Awakowicz, P. and Rolfes, I.
    2018 48th European Microwave Conference, EuMC 2018 (2018)
    The multipole resonance probe (MRP) is a powerful and economical diagnostic tool for the determination of process-relevant plasma parameters. Due to its in-situ measurement concept even spatially resolved information of the plasma under investigation is provided. In order to minimize the influence of the sensor on the process, the planar multipole resonance probe (pMRP) was introduced as a minimally invasive monitoring tool, mounted into the reactor wall. For an effective application of these sensors in a wide field of real processes, industry compatible implementations are required. In this paper, advanced realizations of the MRP and pMRP based on LTCC-technology are presented, which are applicable for the supervision and control of plasma processes at high temperatures: the mathbf{MRP}-{mathbf{LTCC}} and the stacked mathbf{pMRP}-{mathbf{LTCC}}. The latter represents a novel compact design of the pMRP using an LTCC multilayer structure with vertically stacked components. Both sensors are investigated within 3D electromagnetic simulations and compared to measurements performed in a double inductively coupled plasma (DICP). © 2018 European Microwave Association.
    view abstract10.23919/EuMC.2018.8541730
  • Spatially resolved characterization of a dc magnetron plasma using optical emission spectroscopy
    Ries, S. and Bibinov, N. and Rudolph, M. and Schulze, J. and Mráz, S. and Schneider, J.M. and Awakowicz, P.
    Plasma Sources Science and Technology 27 (2018)
    In this work, a reactive argon-nitrogen dc magnetron plasma for sputtering of a chromium (Cr) target is characterized with high spatial resolution by optical emission spectroscopy (OES) using molecular nitrogen emission bands at 0.5 Pa and 100 W. Beside the global gas temperature T g, the electron temperature T e, electron density n e, and the steady-state Cr density n Cr are also determined spatially resolved using a movable OES setup inside the chamber and Abel inversion. n e and T e are found to be consistent with the values measured by a Langmuir probe (LP) within the non-magnetized region along the magnetron axis in a pure Ar plasma for the same process parameters. Finally, a nitrogen content c N of 4% in the target surface is found for the reactive plasma by matching the mean steady-state chromium density measured by OES and calculated from TRIDYN simulations. © 2018 IOP Publishing Ltd.
    view abstract10.1088/1361-6595/aad6d9
  • A combined low-pressure hydrogen peroxide evaporation plus hydrogen plasma treatment method for sterilization – Part 1: Characterization of the condensation process and proof-of-concept
    Stapelmann, K. and Fiebrandt, M. and Raguse, M. and Lackmann, J.-W. and Postema, M. and Moeller, R. and Awakowicz, P.
    Plasma Processes and Polymers 14 (2017)
    A combined hydrogen peroxide evaporation and hydrogen low-pressure plasma treatment process for sterilization is introduced and investigated. The combination of hydrogen peroxide evaporation followed by hydrogen plasma treatment offers an advantage regarding sterilization in complex metal geometries or in sealed sterile bags, where plasma treatment alone faces challenges. Within this contribution, the droplet size and film homogeneity after condensation is investigated by optical diagnostics. Sterilization tests with common challenge organisms show the sterilization capabilities of the combined process in a process challenge device, mimicking the worst-case-scenario for plasma treatment in a small metal box. Furthermore, sterilization in sealed sterile bags clearly demonstrates the advantage of the combined process, showing full spore inactivation solely for the combined process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ppap.201600198
  • A global model of cylindrical and coaxial surface-wave discharges
    Kemaneci, E. and Mitschker, F. and Rudolph, M. and Szeremley, D. and Eremin, D. and Awakowicz, P. and Peter Brinkmann, R.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa7093
  • Characterization of DC magnetron plasma in Ar/Kr/N2 mixture during deposition of (Cr,Al)N coating
    Bobzin, K. and Bagcivan, N. and Theiß, S. and Brugnara, R. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa4ea2
  • Comparison of Langmuir probe and multipole resonance probe measurements in argon, hydrogen, nitrogen, and oxygen mixtures in a double ICP discharge
    Fiebrandt, M. and Oberberg, M. and Awakowicz, P.
    Journal of Applied Physics 122 (2017)
    view abstract10.1063/1.4991493
  • Electrical, optical and spectroscopic characterisation of a radio frequency discharge used for electrosurgical cutting
    Bürger, I. and Bibinov, N. and Neugebauer, A. and Enderle, M. and Awakowicz, P.
    Plasma Processes and Polymers 14 (2017)
    Electric scalpels are used for cutting of biological tissue, for example, for resection of tumour tissue in surgery. During the electrosurgical procedure a plasma is ignited in a gap between the electric scalpel and the tissue. In this study, the plasma operated on porcine kidney and a tissue substitute (cellulose impregnated with isotonic saline) is characterised by means of voltage-current measurements, microphotography, optical emission spectroscopy and numerical simulation. The molecular emission of nitrogen is used for the determination of the gas temperature and the reduced electric field. The electron density is determined using the measured electric current density. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ppap.201600229
  • Enhanced power coupling efficiency in inductive discharges with RF substrate bias driven at consecutive harmonics with adjustable phase
    Berger, B. and Steinberger, T. and Schüngel, E. and Koepke, M. and Mussenbrock, T. and Awakowicz, P. and Schulze, J.
    Applied Physics Letters 111 (2017)
    Inductive discharges with radio-frequency (RF) substrate bias are frequently used for various technological applications. We operate such a hybrid discharge with a phase-locked RF substrate bias at twice the frequency of the inductive coupling with fixed but adjustable phase between both RF sources in neon at low pressures of a few Pa. The ion flux to the substrate is found to be a function of this relative phase in the H-mode at constant RF powers as long as some residual capacitive coupling of the planar coil is present. For distinct choices of the phase, Phase Resolved Optical Emission Spectroscopy measurements show that energetic beam electrons generated by the expanding boundary sheaths (i) are well confined, (ii) are accelerated efficiently, and (iii) propagate vertically through the inductive skin layer at the times of maximum azimuthal induced electric field within the fundamental RF period. This enhances the inductive stochastic electron heating, the power coupling efficiency, and finally the ion flux. © 2017 Author(s).
    view abstract10.1063/1.5000144
  • Fast broadband reflectometer for diagnostics of plasma processes based on spatially distributed multipole resonance probes
    Mallach, M. and Oberberg, M. and Awakowicz, P. and Musch, T.
    IEEE MTT-S International Microwave Symposium Digest (2017)
    Plasma diagnostics is a crucial tool for numerous of industrial processes using technical plasmas. For an effective process control, the determination of the process parameters at multiple positions inside the plasma reactor is required. Utilizing the concept of the multipole resonance probe (MRP), different parameters of low-pressure plasmas required for process control can be derived based on a single broadband measurement of the complex reflection coefficient. In this paper, we present a prototype electronics for fast and accurate reflection measurements of multiple probes based on linear frequency ramps. Its performance has been analyzed by measurements in the frequency range from 0.1 GHz to 5.5 GHz in case of different microwave filters. The results are in very good agreement with those obtained with a commercial vector network analyzer (VNA), whereas the sweep time of 1 ms is significantly shorter. The applicability of the prototype electronics for plasma diagnostics at multiple positions over a wide range of plasma process parameters has been demonstrated by measurements using a double inductively coupled plasma reactor. © 2017 IEEE.
    view abstract10.1109/MWSYM.2017.8058765
  • Impact of liquid environment on femtosecond laser ablation
    Kanitz, A. and Hoppius, J.S. and Fiebrandt, M. and Awakowicz, P. and Esen, C. and Ostendorf, A. and Gurevich, E.L.
    Applied Physics A: Materials Science and Processing 123 (2017)
    The ablation rate by femtosecond laser processing of iron in different liquids is investigated for fluences up to 5 J/cm2. The resulting fluence dependency is modeled by an approach derived from the two-temperature model. In our experiments, the liquid environment strongly affects the effective penetration depth, e.g, the ablation rate in water is almost ten times higher than in toluene. This effect is discussed and introduced phenomenologically into the model. Additional reflectivity measurements and plasma imaging provide improved insight into the ablation process. © 2017, Springer-Verlag GmbH Germany.
    view abstract10.1007/s00339-017-1280-z
  • In situ measurement of VUV/UV radiation from low-pressure microwave-produced plasma in Ar/O2 gas mixtures
    Iglesias, E.J. and Mitschker, F. and Fiebrandt, M. and Bibinov, N. and Awakowicz, P.
    Measurement Science and Technology 28 (2017)
    view abstract10.1088/1361-6501/aa7816
  • Influence of organic surface chemistry on the nucleation of plasma deposited SiOx films
    Hoppe, C. and Mitschker, F. and Giner, I. and De los Arcos, T. and Awakowicz, P. and Grundmeier, G.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa69e5
  • Influence of PE-CVD and PE-ALD on defect formation in permeation barrier films on PET and correlation to atomic oxygen fluence
    Mitschker, F. and Steves, S. and Gebhard, M. and Rudolph, M. and Schücke, L. and Kirchheim, D. and Jaritz, M. and Brochhagen, M. and Hoppe, C. and Dahlmann, R. and Böke, M. and Benedikt, J. and Giner, I. and De los Arcos, T. and Hopmann, C. and Grundmeier, G. and Devi, A. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa6e28
  • Investigating the detrimental effects of low pressure plasma sterilization on the survival of bacillus subtilis spores using live cell microscopy
    Fuchs, F.M. and Raguse, M. and Fiebrandt, M. and Madela, K. and Awakowicz, P. and Laue, M. and Stapelmann, K. and Moeller, R.
    Journal of Visualized Experiments 2017 (2017)
    Plasma sterilization is a promising alternative to conventional sterilization methods for industrial, clinical, and spaceflight purposes. Low pressure plasma (LPP) discharges contain a broad spectrum of active species, which lead to rapid microbial inactivation. To study the efficiency and mechanisms of sterilization by LPP, we use spores of the test organism Bacillus subtilis because of their extraordinary resistance against conventional sterilization procedures. We describe the production of B. subtilis spore monolayers, the sterilization process by low pressure plasma in a double inductively coupled plasma reactor, the characterization of spore morphology using scanning electron microscopy (SEM), and the analysis of germination and outgrowth of spores by live cell microscopy. A major target of plasma species is genomic material (DNA) and repair of plasma-induced DNA lesions upon spore revival is crucial for survival of the organism. Here, we study the germination capacity of spores and the role of DNA repair during spore germination and outgrowth after treatment with LPP by tracking fluorescently-labelled DNA repair proteins (RecA) with time-resolved confocal fluorescence microscopy. Treated and untreated spore monolayers are activated for germination and visualized with an inverted confocal live cell microscope over time to follow the reaction of individual spores. Our observations reveal that the fraction of germinating and outgrowing spores is dependent on the duration of LPP-treatment reaching a minimum after 120 s. RecA-YFP (yellow fluorescence protein) fluorescence was detected only in few spores and developed in all outgrowing cells with a slight elevation in LPP-treated spores. Moreover, some of the vegetative bacteria derived from LPP-treated spores showed an increase in cytoplasm and tended to lyse. The described methods for analysis of individual spores could be exemplary for the study of other aspects of spore germination and outgrowth. © 2017 Journal of Visualized Experiments.
    view abstract10.3791/56666
  • Measurement of Ar resonance and metastable level number densities in argon containing plasmas
    Fiebrandt, M. and Hillebrand, B. and Spiekermeier, S. and Bibinov, N. and Böke, M. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    The resonance 1s4 (3P1), 1s2 (1P1) and metastable 1s5 (3P2), 1s3 (3P0) level number densities of argon are determined by means of the branching fraction method in an inductively coupled plasma at 5 Pa and 10 Pa in argon with admixture of hydrogen, nitrogen and oxygen. The 1s5 (3P2) densities are compared to laser absorption spectroscopy measurements to evaluate the reliability of the branching fraction method and its limitations. The results are in good agreement and the use of a compact, low cost, low resolution spectrometer (Δλ = 1.3 nm) is sufficient to reliably determine the first four excited states of argon in argon-hydrogen and argon-oxygen mixtures. The addition of nitrogen results in unreliable densities, as the observed argon lines overlap with emission of the N2(B3Πg - A3∑+ u) transition. © 2017 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa7d67
  • Mechanisms of oxygen permeation through plastic films and barrier coatings
    Wilski, S. and Wipperfürth, J. and Jaritz, M. and Kirchheim, D. and Mitschker, F. and Awakowicz, P. and Dahlmann, R. and Hopmann, C.
    Journal of Physics D: Applied Physics 50 (2017)
    Oxygen and water vapour permeation through plastic films in food packaging or other applications with high demands on permeation are prevented by inorganic barrier films. Most of the permeation occurs through small defects (<3 μm) in the barrier coating. The defects were visualized by etching with reactive oxygen in a capacitively coupled plasma and subsequent SEM imaging. In this work, defects in SiOx-coatings deposited by plasma-enhanced chemical vapour deposition on polyethylene terephthalate (PET) are investigated and the mass transport through the polymer is simulated in a 3D approach. Calculations of single defects showed that there is no linear correlation between the defect area and the resulting permeability. The influence of adjacent defects in different distances was observed and led to flow reduction functions depending on the defect spacing and defect area. A critical defect spacing where no interaction between defects occurs was found and compared to other findings. According to the superposition principle, the permeability of single defects was added up and compared to experimentally determined oxygen permeation. The results showed the same trend of decreasing permeability with decreasing defect densities. © 2017 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa8525
  • Modifications of aluminum film caused by micro-plasmoids and plasma spots in the effluent of an argon non-equilibrium plasma jet
    Engelhardt, M. and Ries, S. and Hermanns, P. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    A smooth layer of hard aluminium film is deposited onto a glass substrate with a multi-frequency CCP discharge and then treated in the effluent of a non-equilibrium atmospheric pressure plasma jet (N-APPJ) operated with Ar flow. A thin filament is formed in the argon N-APPJ through contraction of a diffuse feather-like discharge. The aluminium surface treated in the effluents of the N-APPJ is significantly modified. Erosion tracks of different forms and micro-balls composed of aluminium are observed on the treated surface. Based on CCD images of active plasma discharge channels, SEM images of the treated surface and current-voltage characteristics, these surface modifications are interpreted as traces of plasma spots and plasmoids. Plasma spots are focused plasma channels, which are characterized by an intense emission in CCD images at the contact point of a plasma channel with the treated metal surface and by deep short tracks on the aluminium surface, observed in SEM images. Plasmoids are plasma objects without contact to any power supply which can produce long, thin and shallow traces, as can be observed on the treated surface using electron microscopy. Based on observed traces and numerous transformations of plasma spots to plasmoids and vice versa, it is supposed that both types of plasma objects are formed by an extremely high axial magnetic field and differ from each other due to the existence or absence of contact to a power supply and the consequential transport of electric current. The reason for the magnetic field at the axis of these plasma objects is possibly a circular current of electron pairs in vortices, which are formed in plasma by the interaction of ionization waves with the substrate surface. The extremely high magnetic field of plasma spots and plasmoids leads to a local destruction of the metal film and top layer of the glass substrate and to an attraction of paramagnetic materials, namely aluminium and oxygen. The magnetic attraction of aluminium is a reason for the extraction of some pieces of metal and the formation of erosion tracks and holes in the metal film. In the absence of metal atomization, the extracted aluminium forms spherical micro-particles, which are distributed over the surface of the treated metal film by the gas flow. A thin (100 nm) gold (diamagnetic) layer on top of the aluminium film surface reduces the erosion rate of plasma spots and plasmoids drastically (more than three orders of magnitude). © 2017 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa802f
  • Silicon surface modifications produced by non-equilibrium He, Ne and Kr plasma jets
    Engelhardt, M. and Kartaschew, K. and Bibinov, N. and Havenith, M. and Awakowicz, P.
    Journal of Physics D-applied Physics 50 (2017)
    In this publication the interaction of non-equilibrium plasma jets (N-APPJs) with silicon surfaces is studied. The N-APPJs are operated with He, Ne and Kr gas flows under atmospheric pressure conditions. Plasma bullets are produced by the He and Ne N-APPJs, while a filamentary discharge is ignited in the Kr flow. All these N-APPJs produce remarkable traces on silicon wafer surfaces treated in their effluents. Different types of etching tracks, blisters and crystals are observed on the treated surfaces. The observed traces and surface modifications of silicon wafers are analyzed with optical, atomic-force, scanning electron and Raman microscopes. Based on the material composition within the etching tracks and the position and dimension of blisters and crystals, the traces observed on the silicon wafer surfaces are interpreted as traces of micro-plasmoids. Amorphous silicon is found in the etching tracks. Blisters are produced through the formation of cracks inside the silicon crystal by the interaction with micro-plasmoids. The reason for these modifications is not clear now. The density of micro-plasmoids traces on the treated silicon surface and the depth and length of the etching tracks depends strongly on the type of the used carrier gas of the N-APPJ.
    view abstract10.1088/1361-6463/50/1/015206
  • Silicon surface modifications produced by non-equilibrium He, Ne and Kr plasma jets
    Engelhardt, M. and Kartaschew, K. and Bibinov, N. and Havenith, M. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    In this publication the interaction of non-equilibrium plasma jets (N-APPJs) with silicon surfaces is studied. The N-APPJs are operated with He, Ne and Kr gas flows under atmospheric pressure conditions. Plasma bullets are produced by the He and Ne N-APPJs, while a filamentary discharge is ignited in the Kr flow. All these N-APPJs produce remarkable traces on silicon wafer surfaces treated in their effluents. Different types of etching tracks, blisters and crystals are observed on the treated surfaces. The observed traces and surface modifications of silicon wafers are analyzed with optical, atomic-force, scanning electron and Raman microscopes. Based on the material composition within the etching tracks and the position and dimension of blisters and crystals, the traces observed on the silicon wafer surfaces are interpreted as traces of micro-plasmoids. Amorphous silicon is found in the etching tracks. Blisters are produced through the formation of cracks inside the silicon crystal by the interaction with micro-plasmoids. The reason for these modifications is not clear now. The density of micro-plasmoids traces on the treated silicon surface and the depth and length of the etching tracks depends strongly on the type of the used carrier gas of the N-APPJ. © 2016 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/50/1/015206
  • Spatially resolved measurements of the physical plasma parameters and the chemical modifications in a twin surface dielectric barrier discharge for gas flow purification
    Offerhaus, B. and Lackmann, J.-W. and Kogelheide, F. and Bracht, V. and Smith, R. and Bibinov, N. and Stapelmann, K. and Awakowicz, P.
    Plasma Processes and Polymers 14 (2017)
    A twin surface dielectric barrier discharge consisting of an aluminium oxide plate with grid-structured copper traces on both sides is presented. Due to the size of the electrode configuration spatially resolved optical emission spectroscopy for characterisation of the discharge is performed on two different length scales in order to show its homogeneous behaviour. A broadband echelle spectrometer is employed for a comparison of the plasma parameters at different sites along the copper traces with a spatial resolution on a scale of millimetres. In addition, an ICCD camera with bandpass filters yields homogeneity of the plasma parameters on a scale of micrometres at a given node of the grid-structured copper traces. The discharge is shown to be homogeneous all along the electrode. However, due to the changing composition of the gas stream, it cannot be concluded that the gas phase chemistry follows the same trend. Therefore, FTIR spectroscopy of cysteine is used to monitor the spatial dependence of the gas phase chemistry, showing a transition from purely oxygen-related modifications at the front of the electrode to a mixture of oxygen-related and nitrogen-related modifications at the rear. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ppap.201600255
  • Temperature-dependent transport mechanisms through PE-CVD coatings: Comparison of oxygen and water vapour
    Kirchheim, D. and Wilski, S. and Jaritz, M. and Mitschker, F. and Gebhard, M. and Brochhagen, M. and Böe, M. and Benedikt, J. and Awakowicz, P. and Devi, A. and Hopmann, C. and Dahlmann, R.
    Journal of Physics D: Applied Physics 50 (2017)
    When it comes to thin coatings such as plasma-enhanced chemical vapour deposition or plasma-enhanced atomic layer deposition coatings on substrates of polymeric material, existing models often describe transport through these thin coatings as mainly driven by transport through defects of different sizes. However, temperature-dependent measurements of permeation could not confirm this hypothesis and instead gaseous transport through these thin coatings was found to more likely to occur through the molecular structure. This paper correlates existing transport models with data from oxygen transmission experiments and puts recent investigations for water vapour transmission mechanisms into context for a better understanding of gaseous transport through thin coatings. © 2017 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa80fd
  • The effect of realistic heavy particle induced secondary electron emission coefficients on the electron power absorption dynamics in single- and dual-frequency capacitively coupled plasmas
    Daksha, M. and Derzsi, A. and Wilczek, S. and Trieschmann, J. and Mussenbrock, T. and Awakowicz, P. and Donkó, Z. and Schulze, J.
    Plasma Sources Science and Technology 26 (2017)
    view abstract10.1088/1361-6595/aa7c88
  • The effect of UV radiation from oxygen and argon plasma on the adhesion of organosilicon coatings on polypropylene
    Jaritz, M. and Behm, H. and Hopmann, C. and Kirchheim, D. and Mitschker, F. and Awakowicz, P. and Dahlmann, R.
    Journal of Physics D-applied Physics 50 (2017)
    The influence of ultraviolet (UV) radiation from oxygen and argon pretreatment plasmas on a plastic substrate has not been fully understood yet. In particular, its influence on the adhesion properties has not been sufficiently researched so far. This paper addresses this issue by comparing the bond strength of a plasmapolymerized silicon organic coating (SiOxCyHz) on polypropylene (PP) after oxygen and argon plasma pretreatment and pretreatment by UV radiation emitted by the same plasmas. The UV radiation is isolated from the other species from the plasma by means of a magnesium fluoride (MgF2) optical filter. It could be shown that UV radiation originating from an oxygen plasma has a significant impact on both substrate surface chemistry and coating adhesion. The same maximum bond strength enhancement can be reached by pretreating the polypropylene surface either with pulsed oxygen plasma, or with only the UV radiation from this oxygen plasma. Also, similar surface chemistry and topography modifications are induced. For argon plasma no significant influence of its UV radiation on the substrate could be observed in this study.
    view abstract10.1088/1361-6463/50/1/015201
  • The effect of UV radiation from oxygen and argon plasma on the adhesion of organosilicon coatings on polypropylene
    Jaritz, M. and Behm, H. and Hopmann, C. and Kirchheim, D. and Mitschker, F. and Awakowicz, P. and Dahlmann, R.
    Journal of Physics D: Applied Physics 50 (2017)
    The influence of ultraviolet (UV) radiation from oxygen and argon pretreatment plasmas on a plastic substrate has not been fully understood yet. In particular, its influence on the adhesion properties has not been sufficiently researched so far. This paper addresses this issue by comparing the bond strength of a plasmapolymerized silicon organic coating (SiOxCyHz) on polypropylene (PP) after oxygen and argon plasma pretreatment and pretreatment by UV radiation emitted by the same plasmas. The UV radiation is isolated from the other species from the plasma by means of a magnesium fluoride (MgF2) optical filter. It could be shown that UV radiation originating from an oxygen plasma has a significant impact on both substrate surface chemistry and coating adhesion. The same maximum bond strength enhancement can be reached by pretreating the polypropylene surface either with pulsed oxygen plasma, or with only the UV radiation from this oxygen plasma. Also, similar surface chemistry and topography modifications are induced. For argon plasma no significant influence of its UV radiation on the substrate could be observed in this study. © 2016 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/50/1/015201
  • Tissue differentiation by means of high resolution optical emission spectroscopy during electrosurgical intervention
    Burger, I. and Scharpf, M. and Hennenlotter, J. and Nussle, D. and Spether, D. and Neugebauer, A. and Bibinov, N. and Stenzl, A. and Fend, F. and Enderle, M. and Awakowicz, P.
    Journal of Physics D-applied Physics 50 (2017)
    Electrosurgery is the use of radio-frequency electric current for the cutting of biological tissue e.g. for resection of tumour tissue. In this work, the optical emission of plasma being generated during the electrosurgical procedure is investigated with a high resolution echelle spectrometer to find differences between tumour tissue and normal renal tissue in a preclinical ex vivo study. Trace elements like zinc, iron, copper and cadmium are present in the tissue spectra as well as the electrolytes magnesium, calcium, sodium and potassium and some diatomic molecules such as hydroxyl radical, cyano radical, dicarbon, nitrogen monohydride and molecular nitrogen which are mainly dissociated from polyatomic molecules. With the atomic emission line of cadmium at 228.8 nm the treated tissue can be differentiated in tumorous and healthy tissue with correct assignment of 95% for tumour tissue and 92% for normal renal tissue.
    view abstract10.1088/1361-6463/aa4ed3
  • Tissue differentiation by means of high resolution optical emission spectroscopy during electrosurgical intervention
    Bürger, I. and Scharpf, M. and Hennenlotter, J. and Nüßle, D. and Spether, D. and Neugebauer, A. and Bibinov, N. and Stenzl, A. and Fend, F. and Enderle, M. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    Electrosurgery is the use of radio-frequency electric current for the cutting of biological tissue e.g. for resection of tumour tissue. In this work, the optical emission of plasma being generated during the electrosurgical procedure is investigated with a high resolution echelle spectrometer to find differences between tumour tissue and normal renal tissue in a pre-clinical ex vivo study. Trace elements like zinc, iron, copper and cadmium are present in the tissue spectra as well as the electrolytes magnesium, calcium, sodium and potassium and some diatomic molecules such as hydroxyl radical, cyano radical, dicarbon, nitrogen monohydride and molecular nitrogen which are mainly dissociated from polyatomic molecules. With the atomic emission line of cadmium at 228.8 nm the treated tissue can be differentiated in tumorous and healthy tissue with correct assignment of 95% for tumour tissue and 92% for normal renal tissue. © 2016 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa4ed3
  • Transport mechanisms through PE-CVD coatings: Influence of temperature, coating properties and defects on permeation of water vapour
    Kirchheim, D. and Jaritz, M. and Mitschker, F. and Gebhard, M. and Brochhagen, M. and Hopmann, C. and Böke, M. and Devi, A. and Awakowicz, P. and Dahlmann, R.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa511c
  • Tuning rule for linear control of nonlinear reactive sputter processes
    Woelfel, C. and Awakowicz, P. and Lunze, J.
    Proceedings of the 2017 21st International Conference on Process Control, PC 2017 (2017)
    A tuning rule for the linear control of nonlinear reactive sputter processes is developed based on a process model, which has the form of an Abel differential equation. The process characteristics relates to a supercritical Pitchfork bifurcation with stable and unstable equilibrium states. The paper presents a tuning rule to achieve a desired closed-loop transition behavior and set-point following for step-shaped reference signals without the need of an identified process model. The tuning rule is deduced from the given stability conditions. Experiments are presented for the validation of the developed control structure and the proposed tuning rule. They show that reactive sputter processes can be systematically tuned to achieve a desired closed-loop behavior. © 2017 IEEE.
    view abstract10.1109/PC.2017.7976198
  • Unearthing [3-(Dimethylamino)propyl]aluminium(III) Complexes as Novel Atomic Layer Deposition (ALD) Precursors for Al2O3: Synthesis, Characterization and ALD Process Development
    Mai, L. and Gebhard, M. and de los Arcos, T. and Giner, I. and Mitschker, F. and Winter, M. and Parala, H. and Awakowicz, P. and Grundmeier, G. and Devi, A.
    Chemistry - A European Journal 23 (2017)
    Identification and synthesis of intramolecularly donor-stabilized aluminium(III) complexes, which contain a 3-(dimethylamino)propyl (DMP) ligand, as novel atomic layer deposition (ALD) precursors has enabled the development of new and promising ALD processes for Al2O3 thin films at low temperatures. Key for this promising outcome is the nature of the ligand combination that leads to heteroleptic Al complexes encompassing optimal volatility, thermal stability and reactivity. The first ever example of the application of this family of Al precursors for ALD is reported here. The process shows typical ALD like growth characteristics yielding homogeneous, smooth and high purity Al2O3 thin films that are comparable to Al2O3 layers grown by well-established, but highly pyrophoric, trimethylaluminium (TMA)-based ALD processes. This is a significant development based on the fact that these compounds are non-pyrophoric in nature and therefore should be considered as an alternative to the industrial TMA-based Al2O3 ALD process used in many technological fields of application. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/chem.201702939
  • VUV absorption spectroscopy of bacterial spores and DNA components
    Fiebrandt, M. and Lackmann, J.-W. and Raguse, M. and Moeller, R. and Awakowicz, P. and Stapelmann, K.
    Plasma Physics and Controlled Fusion 59 (2017)
    Low-pressure plasmas can be used to inactivate bacterial spores and sterilize goods for medical and pharmaceutical applications. A crucial factor are damages induced by UV and VUV radiation emitted by the plasma. To analyze inactivation processes and protection strategies of spores, absorption spectra of two B. subtilis strains are measured. The results indicate, that the inner and outer coat of the spore significantly contribute to the absorption of UV-C and also of the VUV, protecting the spore against radiation based damages. As the sample preparation can significantly influence the absorption spectra due to salt residues, the cleaning procedure and sample deposition is tested for its reproducibility by measuring DNA oligomers and pUC18 plasmid DNA. The measurements are compared and discussed with results from the literature, showing a strong decrease of the salt content enabling the detection of absorption structures in the samples. © 2017 IOP Publishing Ltd.
    view abstract10.1088/0741-3335/59/1/014010
  • A combined low-pressure hydrogen peroxide evaporation plus hydrogen plasma treatment method for sterilization - Part 2: An intercomparison study of different biological systems
    Lackmann, J.-W. and Fiebrandt, M. and Raguse, M. and Kartaschew, K. and Havenith, M. and Bandow, J.E. and Moeller, R. and Awakowicz, P. and Stapelmann, K.
    Plasma Processes and Polymers 14 (2016)
    Low-pressure plasmas are a promising alternative to modern sterilization processes. As plasma is a surface process, multilayered stacks of spores are a crucial challenge to overcome. Here, a combined process of condensed hydrogen peroxide and hydrogen plasma is analyzed for its efficacy against various spore concentrations showing a clear increase in efficacy using a combined process compared to the two steps used separately. Besides spores, protein contaminations are a major issue in clinics and the combined process is investigated for protein removal efficiency using the well-established BSA model. Furthermore, RNase A serves as a difficult-to-inactivate protein model to investigate protein inactivation efficiency. Finally, inactivation mechanisms of RNase A with a special focus on sulfur-based modifications are investigated using Raman spectroscopy. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201600199
  • An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
    Gebhard, M. and Mitschker, F. and Wiesing, M. and Giner, I. and Torun, B. and De Los Arcos, T. and Awakowicz, P. and Grundmeier, G. and Devi, A.
    Journal of Materials Chemistry C 4 (2016)
    An efficient plasma-enhanced atomic layer deposition (PE-ALD) process was developed for TiO2 thin films of high quality, using a new Ti-precursor, namely tris(dimethylamido)-(dimethylamino-2-propanolato)titanium(iv) (TDMADT). The five-coordinated titanium complex is volatile, thermally stable and reactive, making it a potential precursor for ALD and PE-ALD processes. Process optimization was performed with respect to plasma pulse length and reactive gas flow rate. Besides an ALD window, the application of the new compound was investigated using in situ quartz-crystal microbalance (QCM) to monitor surface saturation and growth per cycle (GPC). The new PE-ALD process is demonstrated to be an efficient procedure to deposit stoichiometric titanium dioxide thin films under optimized process conditions with deposition temperatures as low as 60°C. Thin films deposited on Si(100) and polyethylene-terephthalate (PET) exhibit a low RMS roughness of about 0.22 nm. In addition, proof-of-principle studies on TiO2 thin films deposited on PET show promising results in terms of barrier performance with oxygen transmission rates (OTR) found to be as low as 0.12 cm3 x cm-2 x day-1 for 14 nm thin films. © The Royal Society of Chemistry 2016.
    view abstract10.1039/c5tc03385c
  • Antenna induced hot restrike of a ceramic metal halide lamp recorded by high-speed photography
    Hermanns, P. and Hoebing, T. and Bergner, A. and Ruhrmann, C. and Awakowicz, P. and Mentel, J.
    Journal of Applied Physics 119 (2016)
    The hot restrike is one of the biggest challenges in operating ceramic metal halide lamps with mercury as buffer gas. Compared to a cold lamp, the pressure within a ceramic burner is two orders of magnitude higher during steady state operation due to the high temperature of the ceramic tube and the resulting high mercury vapour pressure. Room temperature conditions are achieved after 300 s of cooling down in a commercial burner, enclosed in an evacuated outer bulb. At the beginning of the cooling down, ignition voltage rises up to more than 14 kV. A significant reduction of the hot-restrike voltage can be achieved by using a so called active antenna. It is realized by a conductive sleeve surrounding the burner at the capillary of the upper electrode. The antenna is connected to the lower electrode of the lamp, so that its potential is extended to the vicinity of the upper electrode. An increased electric field in front of the upper electrode is induced, when an ignition pulse is applied to the lamp electrodes. A symmetrically shaped ignition pulse is applied with an amplitude, which is just sufficient to re-ignite the hot lamp. The re-ignition, 60 s after switching off the lamp, when the mercury pressure starts to be saturated, is recorded for both polarities of the ignition pulse with a high-speed camera, which records four pictures within the symmetrically shaped ignition pulse with exposure times of 100 ns and throws of 100 ns. The pictures show that the high electric field and its temporal variation establish a local dielectric barrier discharge in front of the upper electrode inside the burner, which covers the inner wall of the burner with a surface charge. It forms a starting point of streamers, which may induce the lamp ignition predominantly within the second half cycle of the ignition pulse. It is found out that an active antenna is more effective when the starting point of the surface streamer in front of the sleeve is a negative surface charge on the inner tube wall. The high-speed photos show that the ignition process is very similar in lamps with Hg or Xe as buffer gas. © 2016 AIP Publishing LLC.
    view abstract10.1063/1.4943621
  • Change of the arc attachment mode and its effect on the lifetime in automotive high intensity discharge lamps
    Alexejev, A. and Flesch, P. and Mentel, J. and Awakowicz, P.
    Journal of Applied Physics 120 (2016)
    In modern cars, the new generation Hg-free high intensity discharge (HID) lamps, the so called xenon lamps, take an important role. The long lifetime of these lamps is achieved by doping the tungsten electrodes with thorium. Thorium forms a dipole layer on the electrode surface, thus reducing the work function of tungsten. However, thoriating the electrodes is also an issue of trade and transport regulation, so a substitute is looked into. This work shows the influence of the arc attachment mode on the lifetime of the lamps. The mode of the arc attachment changes during the run-up phase of automotive HID lamps after a characteristic time period depending, i.e., on the filling of the lamps, which is dominated by scandium. It will be shown that this characteristic time period for the change of the attachment mode determines the long term performance of Hg-free xenon lamps. Measurements attributing the mode change to the scandium density in the filling are presented. The emitter effect of scandium will be suggested to be the reason of the mode change. © 2016 Author(s).
    view abstract10.1063/1.4963280
  • Cold atmospheric-pressure plasma and bacteria: Understanding the mode of action using vibrational microspectroscopy
    Kartaschew, K. and Baldus, S. and Mischo, M. and Bründermann, E. and Awakowicz, P. and Havenith, M.
    Journal of Physics D: Applied Physics 49 (2016)
    Cold atmospheric-pressure plasma show promising antimicrobial effects, however the detailed biochemical mechanism of the bacterial inactivation is still unknown. We investigated, for the first time, plasma-treated Gram-positive Bacillus subtilis and Gram-negative Escherichia coli bacteria with Raman and infrared microspectroscopy. A dielectric barrier discharge was used as a plasma source. We were able to detect several plasma-induced chemical modifications, which suggest a pronounced oxidative effect on the cell envelope, cellular proteins and nucleotides as well as a generation of organic nitrates in the treated bacteria. Vibrational microspectroscopy is used as a comprehensive and a powerful tool for the analysis of plasma interactions with whole organisms such as bacteria. Analysis of reaction kinetics of chemical modifications allow a time-dependent insight into the plasma-mediated impact. Investigating possible synergistic effects between the plasma-produced components, our observations strongly indicate that the detected plasma-mediated chemical alterations can be mainly explained by the particle effect of the generated reactive species. By changing the polarity of the applied voltage pulse, and hence the propagation mechanisms of streamers, no significant effect on the spectral results could be detected. This method allows the analysis of the individual impact of each plasma constituent for particular chemical modifications. Our approach shows great potential to contribute to a better understanding of plasma-cell interactions. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/37/374003
  • Diagnostics of plasma processes based on parallelized spatially resolved in-situ reflection measurements
    Schulz, C. and Runkel, J. and Oberberg, M. and Awakowicz, P. and Rolfes, I.
    IEEE Transactions on Microwave Theory and Techniques 64 (2016)
    A parallelized in-situ plasma measurement setup, consisting of two multipole resonance probes (MRP), a passive signal divider, and two coaxial cables with different lengths is presented in this contribution. The combined reflection coefficient of the applied probes is measured, separated in the time domain, and evaluated. Here, each MRP is able to measure the spatially resolved plasma electron density via its resonance behavior precisely and quasi-simultaneously. Furthermore, the return loss (RL) changes with the collision frequency, which can be detected for each probe. The parallelization and the applied signal processing are confirmed by simulations and combined measurements in CST Schematic as well as by in-situ measurements in an argon plasma. The resulting error is below 1% for the resonance frequency and below 8% for the corresponding RL. Hence, the input power and gas pressure of a plasma process can be controlled effectively. © 2015 IEEE.
    view abstract10.1109/TMTT.2015.2510653
  • FTIR spectroscopy of cysteine as a ready-to-use method for the investigation of plasma-induced chemical modifications of macromolecules
    Kogelheide, F. and Kartaschew, K. and Strack, M. and Baldus, S. and Metzler-Nolte, N. and Havenith, M. and Awakowicz, P. and Stapelmann, K. and Lackmann, J.-W.
    Journal of Physics D: Applied Physics 49 (2016)
    A rapid screening method for the investigation of plasma-induced chemical modifications was developed by analyzing cysteine using Fourier Transform Infrared (FTIR) spectroscopy. Cysteine is a key amino acid in proteins due to the presence of a thiol group which provides unique structural features by offering the possibility to form disulfide bonds. Its chemical composition makes cysteine a well-suited model for the investigation of plasma-induced modifications at three functional groups - the amino, the carboxyl and the thiol group - all highly abundant in proteins. FTIR spectroscopy is present in most physical laboratories and offers a fast way to assess changes in the chemical composition of cysteine substrates due to plasma treatment and to compare different treatment conditions or plasma sources with each other. Significant changes in the fingerprint spectra of cysteine samples treated with a dielectric barrier discharge (DBD) compared to untreated controls were observed using a FTIR spectrometer. The loss of the thiol signal and the simultaneous increase of bands originating from oxidized sulfur and nitrogen species indicate that the thiol group of cysteine is modified by reactive oxygen and nitrogen species during DBD treatment. Furthermore, other plasma-induced modifications, such as changes of the amino and carbonyl groups, could be observed. Complementary mass spectrometry measurements confirmed these results. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/8/084004
  • Improvement of biological indicators by uniformly distributing Bacillus subtilis spores in monolayers to evaluate enhanced spore decontamination technologies
    Raguse, M. and Fiebrandt, M. and Stapelmann, K. and Madela, K. and Laue, M. and Lackmann, J.-W. and Thwaite, J.E. and Setlow, P. and Awakowicz, P. and Moeller, R.
    Applied and Environmental Microbiology 82 (2016)
    Novel decontamination technologies, including cold low-pressure plasma and blue light (400 nm), are promising alternatives to conventional surface decontamination methods. However, the standardization of the assessment of such sterilization processes remains to be accomplished. Bacterial endospores of the genera Bacillus and Geobacillus are frequently used as biological indicators (BIs) of sterility. Ensuring standardized and reproducible BIs for reliable testing procedures is a significant problem in industrial settings. In this study, an electrically driven spray deposition device was developed, allowing fast, reproducible, and homogeneous preparation of Bacillus subtilis 168 spore monolayers on glass surfaces. A detailed description of the structural design as well as the operating principle of the spraying device is given. The reproducible formation of spore monolayers of up to 5 x 10^7 spores per sample was verified by scanning electron microscopy. Surface inactivation studies revealed that monolayered spores were inactivated by UV-C (254 nm), low-pressure argon plasma (500 W, 10 Pa, 100 standard cubic cm per min), and blue light (400 nm) significantly faster than multilayered spores were. We have thus succeeded in the uniform preparation of reproducible, highly concentrated spore monolayers with the potential to generate BIs for a variety of nonpenetrating surface decontamination techniques. © 2016, American Society for Microbiology. All Rights Reserved.
    view abstract10.1128/AEM.03934-15
  • Interaction of an argon plasma jet with a silicon wafer
    Engelhardt, M. and Pothiraja, R. and Kartaschew, K. and Bibinov, N. and Havenith, M. and Awakowicz, P.
    Journal of Physics D: Applied Physics 49 (2016)
    A filamentary discharge is ignited in an argon plasma jet under atmospheric pressure conditions. The gas discharge is characterized with voltage-current measurements, optical emission spectroscopy and an ICCD-camera with a high temporal resolution down to 10 ns. In the effluent of the plasma jet, filaments come into contact with the surface of a silicon wafer and modify it, namely etching traces are produced and microcrystals are deposited. These traces are studied with optical and electron microscopes. The material of the deposited microcrystals and the surface modifications of the silicon wafer are analyzed with Raman microspectroscopy. Amorphous silicon is found within the etching traces. The largest part of the deposited microcrystals are composed of nitratine (NaNO3) and some of them are calcite (CaCO3). Analyzing the possible reasons for the silicon wafer modifications we come to the conclusion that plasmoids, which are produced near the substrate surface by interaction with ionization waves, are a plausible explanation for the observed surface modifications of the silicon wafer. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/14/145201
  • Micro-plasmoids in self organized filamentary dielectric barrier discharges
    Engelhardt, M. and Kogelheide, F. and Stapelmann, K. and Bibinov, N. and Awakowicz, P.
    Plasma Processes and Polymers 14 (2016)
    A filamentary dielectric barrier discharge (DBD) is ignited on a silicon wafer under atmospheric pressure conditions in a mixture of argon and air (0.5/0.5) in two different modes, namely a stochastically ignited filamentary discharge and a self-organized filamentary discharge by the application of high voltage (HV) pulses at two repetition frequencies, 0.5 and 5kHz. The discharge conditions are characterized by optical emission spectroscopy and current-voltage measurements. The silicon wafer surface treated with the DBD is studied with an electron microscope. The formation of a homogeneous silicon oxide layer is observed after treatment under a stochastically filamentary DBD. Whereas, in the self-organized filamentary DBD, etching tracks (thin channels) and blisters are produced on the silicon wafer surface, which are interpreted as tracks of plasmoids, namely plasma objects without any direct contact to a power supply. The transition between the different filamentary modes of the DBD plasma occurs in the presented study through an increase of the repetition frequency of HV pulses, but it can also be caused by small silicon splinters on the wafer surface. The splinters cause ignitions in stable positions, and therefore induce a combination of discharge modes, namely stochastically and self-organized DBD mode. In close proximity to the splinters, tracks of plasmoids are observed, even in the DBD at low frequency. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201600095
  • Monitoring of low pressure plasmas with a calibrated probe
    Runkel, J. and Schulz, C. and Rolfes, I. and Oberberg, M. and Awakowicz, P.
    GeMiC 2016 - 2016 German Microwave Conference (2016)
    In this contribution the use of the planar multipole resonance probe (pMRP) as a monitoring tool for low pressure plasmas is presented. By 3D electromagnetic simulations, the probe's ability to monitor two important plasma parameters is investigated and a full one-port calibration is applied to ensure maximum monitoring precision. Measurements in a double inductively coupled argon plasma confirm the simulation results and prove the suitability of the calibrated pMRP for precise plasma monitoring. © 2016 Institut fur Mikrowellen und Antennentechnik-IMA.
    view abstract10.1109/GEMIC.2016.7461551
  • On the physics of a large CCP discharge
    Eremin, D. and Bienholz, S. and Szeremley, D. and Trieschmann, J. and Ries, S. and Awakowicz, P. and Mussenbrock, T. and Brinkmann, R.P.
    Plasma Sources Science and Technology 25 (2016)
    Demands of the plasma processing industry gradually lead to an increase in electrode areas and driving frequency of the commonly used capacitively coupled reactors. This brings about new phenomena which differ from the well known physics of smaller capacitively coupled plasma (CCP) devices. In this work we compare experimental data and results of numerical modeling for a large CCP discharge having a GEC cell-like geometry currently studied in context of a possible use as a sputtering device. Using an electrostatic implicit particle-in-cell code with Monte-Carlo collisions (PIC/MCC), we have been capable of reproducing all main features of the experimental discharges, which have strong relevance for the processing applications, such as the plasma uniformity and the self-bias. The side chamber proves to play an essential role in defining the physics of the whole device, featuring substantial production of plasma particles and participating in establishing the self-bias due to the telegraph effect observed for higher frequencies. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/25/2/025020
  • Spectroscopic studies of microwave plasmas containing hexamethyldisiloxane
    Nave, A.S.C. and Mitschker, F. and Awakowicz, P. and Röpcke, J.
    Journal of Physics D: Applied Physics 49 (2016)
    Low-pressure microwave discharges containing hexamethyldisiloxane (HMDSO) with admixtures of oxygen and nitrogen, used for the deposition of silicon containing films, have been studied spectroscopically. Optical emission spectroscopy (OES) in the visible spectral range has been combined with infrared laser absorption spectroscopy (IRLAS). The experiments were carried out in order to analyze the dependence of plasma chemical phenomena on power and gas mixture at relatively low pressures, up to 50 Pa, and power values, up to 2 kW. The evolution of the concentration of the methyl radical, CH3, and of seven stable molecules, HMDSO, CH4, C2H2, C2H4, C2H6, CO and CO2, was monitored in the plasma processes by in situ IRLAS using tunable lead salt diode lasers (TDL) and external-cavity quantum cascade lasers (EC-QCL) as radiation sources. To achieve reliable values for the gas temperature inside and outside the plasma bulk as well as for the temperature in the plasma hot and colder zones, which are of great importance for calculation of species concentrations, three different methods based on emission and absorption spectroscopy data of N2, CH3 and CO have been used. In this approach line profile analysis has been combined with spectral simulation methods. The concentrations of the various species, which were found to be in the range between 1011 to 1015 cm-3, are in the focus of interest. The influence of the discharge parameters power, pressure and gas mixture on the molecular concentrations has been studied. To achieve further insight into general plasma chemical aspects the dissociation of the HMDSO precursor gas including its fragmentation and conversion to the reaction products was analyzed in detail. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/39/395206
  • Sterilization of beehive material with a double inductively coupled low pressure plasma
    Priehn, M. and Denis, B. and Aumeier, P. and Kirchner, W.H. and Awakowicz, P. and Leichert, L.I.
    Journal of Physics D: Applied Physics 49 (2016)
    American Foulbrood is a severe, notifiable disease of the honey bee. It is caused by infection of bee larvae with spores of the gram-positive bacterium Paenibacillus larvae. Spores of this organism are found in high numbers in an infected hive and are highly resistant to physical and chemical inactivation methods. The procedures to rehabilitate affected apiaries often result in the destruction of beehive material. In this study we assess the suitability of a double inductively coupled low pressure plasma as a non-destructive, yet effective alternative inactivation method for bacterial spores of the model organism Bacillus subtilis on beehive material. Plasma treatment was able to effectively remove spores from wax, which, under protocols currently established in veterinary practice, normally is destroyed by ignition or autoclaved for sterilization. Spores were removed from wooden surfaces with efficacies significantly higher than methods currently used in veterinary practice, such as scorching by flame treatment. In addition, we were able to non-destructively remove spores from the highly delicate honeycomb wax structures, potentially making treatment of beehive material with double inductively coupled low pressure plasma part of a fast and reliable method to rehabilitate infected bee colonies with the potential to re-use honeycombs. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/37/374002
  • The anodic emitter effect and its inversion demonstrated by temperature measurements at doped and undoped tungsten electrodes
    Hoebing, T. and Bergner, A. and Hermanns, P. and Mentel, J. and Awakowicz, P.
    Journal of Physics D: Applied Physics 49 (2016)
    The admixture of a small amount of emitter oxides, e.g. ThO2, La2O3 or Ce2O3 to tungsten generates the so-called emitter effect. t reduces the work function of tungsten cathodes that are applied in high intensity discharge (HID) lamps. After leaving the electrode ulk and moving to the surface, a monolayer of Th, La, or Ce atoms is formed on the surface which reduces the effective work function. Depending on the coverage of the electrode, the effective reduction in is subjected to the thermal desorption of the monolayer rom the hot electrode surface. The thermal desorption of emitter atoms from the cathode is compensated not only by the supply from he interior of the electrode and by surface diffusion of the emitter material to its tip, but also to a large extent by a repatriation f the emitter ions from the plasma by the strong electric field in front of the cathode. Yet, an emitter ion current from the arc ischarge to the anode may only be present, if the anode is cold enough to refrain from thermionic emission. Therefore, the ability of mitter oxides to reduce the temperature of tungsten anodes is only given for a moderate temperature so that the thermal desorption is ow and an additional ion current is present in front of the anode. A higher electrode temperature leads to their evaporation and to an nversion of the emitter effect, which increases the temperature of the respective anodes in comparison with pure tungsten anodes. ithin this article, the emitter effect of doped tungsten anodes and the transition to its inversion is investigated for thoriated, anthanated, and ceriated tungsten electrodes by measurements of the electrode temperature in dependence on the discharge current. It s shown for a lanthanated and a ceriated anode that the emitter effect is sustained by an ion current at anode temperatures at which he thermal evaporation of emitter material is completed. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/15/155504
  • Understanding of the importance of the spore coat structure and pigmentation in the Bacillus subtilis spore resistance to low-pressure plasma sterilization
    Raguse, M. and Fiebrandt, M. and Denis, B. and Stapelmann, K. and Eichenberger, P. and Driks, A. and Eaton, P. and Awakowicz, P. and Moeller, R.
    Journal of Physics D: Applied Physics 49 (2016)
    Low-pressure plasmas have been evaluated for their potential in biomedical and defense purposes. The sterilizing effect of plasma can be attributed to several active agents, including (V)UV radiation, charged particles, radical species, neutral and excited atoms and molecules, and the electric field. Spores of Bacillus subtilis were used as a bioindicator and a genetic model system to study the sporicidal effects of low-pressure plasma decontamination. Wild-type spores, spores lacking the major protective coat layers (inner, outer, and crust), pigmentation-deficient spores or spore impaired in encasement (a late step in coat assembly) were systematically tested for their resistance to low-pressure argon, hydrogen, and oxygen plasmas with and without admixtures. We demonstrate that low-pressure plasma discharges of argon and oxygen discharges cause significant physical damage to spore surface structures as visualized by atomic force microscopy. Spore resistance to low-pressure plasma was primarily dependent on the presence of the inner, and outer spore coat layers as well as spore encasement, with minor or less importance of the crust and spore pigmentation, whereas spore inactivation itself was strongly influenced by the gas composition and operational settings. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/49/28/285401
  • A dielectric barrier discharge terminally inactivates RNase A by oxidizing sulfur-containing amino acids and breaking structural disulfide bonds
    Lackmann, J.-W. and Baldus, S. and Steinborn, E. and Edengeiser, E. and Kogelheide, F. and Langklotz, S. and Schneider, S. and Leichert, L.I.O. and Benedikt, J. and Awakowicz, P. and Bandow, J.E.
    Journal of Physics D: Applied Physics 48 (2015)
    RNases are among the most stable proteins in nature. They even refold spontaneously after heat inactivation, regaining full activity. Due to their stability and universal presence, they often pose a problem when experimenting with RNA. We investigated the capabilities of nonthermal atmospheric-pressure plasmas to inactivate RNase A and studied the inactivation mechanism on a molecular level. While prolonged heating above 90°C is required for heat inactivating RNase A, direct plasma treatment with a dielectric barrier discharge (DBD) source caused permanent inactivation within minutes. Circular dichroism spectroscopy showed that DBD-treated RNase A unfolds rapidly. Raman spectroscopy indicated methionine modifications and formation of sulfonic acid. A mass spectrometry-based analysis of the protein modifications that occur during plasma treatment over time revealed that methionine sulfoxide formation coincides with protein inactivation. Chemical reduction of methionine sulfoxides partially restored RNase A activity confirming that sulfoxidation is causal and sufficient for RNase A inactivation. Continued plasma exposure led to over-oxidation of structural disulfide bonds. Using antibodies, disulfide bond over-oxidation was shown to be a general protein inactivation mechanism of the DBD. The antibody's heavy and light chains linked by disulfide bonds dissociated after plasma exposure. Based on their ability to inactivate proteins by oxidation of sulfur-containing amino acids and over-oxidation of disulfide bonds, DBD devices present a viable option for inactivating undesired or hazardous proteins on heat or solvent-sensitive surfaces. © 2015 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/48/49/494003
  • Atomic oxygen dynamics in an air dielectric barrier discharge: A combined diagnostic and modeling approach
    Baldus, S. and Schröder, D. and Bibinov, N. and Schulz-Von Der Gathen, V. and Awakowicz, P.
    Journal of Physics D: Applied Physics 48 (2015)
    Cold atmospheric pressure plasmas are a promising alternative therapy for treatment of chronic wounds, as they have already shown in clinical trials. In this study an air dielectric barrier discharge (DBD) developed for therapeutic use in dermatology is characterized with respect to the plasma produced reactive oxygen species, namely atomic oxygen and ozone, which are known to be of great importance to wound healing. To understand the plasma chemistry of the applied DBD, xenon-calibrated two-photon laser-induced fluorescence spectroscopy and optical absorption spectroscopy are applied. The measured spatial distributions are shown and compared to each other. A model of the afterglow chemistry based on optical emission spectroscopy is developed to cross-check the measurement results and obtain insight into the dynamics of the considered reactive oxygen species. The atomic oxygen density is found to be located mostly between the electrodes with a maximum density of n<inf>O<inf>3</inf></inf> = 6 x 10^16 cm. Time resolved measurements reveal a constant atomic oxygen density between two high voltage pulses. The ozone is measured up to 3 mm outside the active plasma volume, reaching a maximum value of nO = 3 x 1016 cm-3 between the electrodes.
    view abstract10.1088/0022-3727/48/27/275203
  • Diamond single micro-crystals and graphitic micro-balls' formation in plasmoids under atmospheric pressure
    Pothiraja, R. and Kartaschew, K. and Bibinov, N. and Havenith, M. and Awakowicz, P.
    Journal of Physics D: Applied Physics 48 (2015)
    Plasmoids are produced in the argon filamentary discharge. By going through hydrocarbon gas, the plasmoids collect carbon material. These plasmoids produce diamond single micro-crystals upon contact on the inner surface of cavity in air atmosphere. When the plasmoid's contact point on the substrate is in inert atmosphere, they deposit their material as micro-balls with a graphite core. The dimension and nature of the micro-materials deposited by the plasmoids are analysed using scanning electron microscopy and Raman microspectroscopy. The compressive residual stress in the deposited micro-diamonds varies in the range-7 to-21 GPa. © 2015 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/48/11/115201
  • Implications of electron heating and non-uniformities in a VHF-CCP for sterilization of medical instruments
    Stapelmann, K. and Fiebrandt, M. and Styrnoll, T. and Baldus, S. and Bibinov, N. and Awakowicz, P.
    Plasma Sources Science and Technology 24 (2015)
    A capacitively coupled plasma driven at a frequency of 81.36 MHz from the VHF-band is investigated by means of optical emission spectroscopy (OES) and multipole resonance probe (MRP). The discharge is operated with hydrogen, yielding an electropositive discharge, as well as oxygen, yielding an electronegative discharge, and mixtures of both. Pressure is varied from p = 5 Pa to p = 25 Pa. Homogeneity of the discharge is investigated by CCD camera recordings as well as spatially resolved multipole resonance probe measurements. The results indicate the presence of electromagnetic edge effects as well as standing wave effects. Furthermore, a largely homogeneous discharge can be achieved with hydrogen as process gas at a pressure of p = 5-10 Pa. With increasing pressure as well as with increasing oxygen content, the discharge appears less homogeneously. The transition from an electropositive to an electronegative discharge leads to a change in electron heating mechanisms, with pronounced local maxima of electron density at the sheath edges. A comparison of OES and MRP results reveal a significant difference in electron density, which can be explained by a non-Maxwellian distribution function of electrons. © 2015 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/24/3/034014
  • Inhibition of interfacial oxidative degradation during SiOx plasma polymer barrier film deposition on model organic substrates
    Ozkaya, B. and Mitschker, F. and Ozcan, O. and Awakowicz, P. and Grundmeier, G.
    Plasma Processes and Polymers 12 (2015)
    Interfacial processes during the initial stages of SiO<inf>x</inf>-like plasma-polymer barrier coating deposition were investigated by means of polarization modulation infrared reflection-absorption spectroscopy, and the resulting effect on defect densities were studied by cyclic voltammetry. Octadecanethiol self-assembled monolayers on Au-film coated wafers served as sensor layers to investigate interface chemistry during the plasma deposition. Both the spectroscopic and electrochemical data revealed that a thin SiOCH interlayer could reduce oxidative degradation of the SAM during subsequent deposition of the SiO<inf>x</inf> barrier film from an oxygen-rich plasma phase. The present electrochemical investigation confirmed effective inhibition of interfacial oxidative degradation processes of an aliphatic polymer in the presence of a SiOCH interfacial layer. Interfacial processes during the initial stages of SiO<inf>x</inf>-like plasma barrier-coating deposition are investigated. Self-assembled monolayers on Au-film coated wafers serve as sensor layers. SiOCH-type organic interlayers are found to oxidize and reduce surface degradation processes upon subsequent barrier film deposition from oxygen-rich gas mixture. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201400105
  • Investigating antennas as ignition aid for automotive HID lamps
    Bergner, A. and Engelhardt, M. and Bienholz, S. and Ruhrmann, C. and Hoebing, T. and Groeger, S. and Mentel, J. and Awakowicz, P.
    Journal of Physics D: Applied Physics 48 (2015)
    This paper considers the ignition of mercury-free high-intensity discharge (HID) lamps for car headlights. Due to safety reasons, these lamps need to have a fast run-up phase which is ensured, amongst other things, by a high Xe pressure of roughly 15 bar (cold) in the discharge vessel. The high Xe pressure causes an increased ignition voltage compared with former mercury-containing automotive HID lamps or low-pressure lamps used for general-lighting applications. The increase in ignition voltage can be limited if the electric field in front of the electrodes is raised by an uplifting of the electrical conductivity along the outer wall of the inner bulb either by a conductive layer on its surface or by a dielectric barrier discharge (DBD) within the outer bulb. This paper considers on the one hand conventional antennas deposited by physical vapour deposition (PVD) and on the other hand a combination of these antennas with a DBD within the outer-bulb operated in 100 mbar Ar as ignition aids. In both cases the antenna potential and antenna width are varied. Additionally, the effects of antenna thickness and antenna material are investigated. The ignition voltage, ignition current and light emission during ignition are measured on a nanosecond timescale. Furthermore, for the very first time, the ignition process is recorded in four consecutive intensified charge-coupled device images using a high-speed camera system with a time resolution in the range of nanoseconds. It was found that antennas strongly reduce the ignition voltage of automotive HID lamps. Active antennas reduce the ignition voltage significantly more than passive antennas, proportional to the conductance of the antenna. Combining conventional antennas with an outer-bulb discharge reduces the ignition voltage from 19 kV without any ignition aid to the intrinsic ignition voltage of the lamp below 10 kV, in the best case. © 2015 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/48/2/025201
  • Investigation of the flickering of La2O3 and ThO2 doped tungsten cathodes
    Hoebing, T. and Hermanns, P. and Bergner, A. and Ruhrmann, C. and Traxler, H. and Wesemann, I. and Knabl, W. and Mentel, J. and Awakowicz, P.
    Journal of Applied Physics 118 (2015)
    Short-arc lamps are equipped with tungsten electrodes due to their ability to withstand a high thermal load during operation. Nominal currents of more than one hundred amperes lead to a cathode tip temperature near the melting point of tungsten. To reduce the electrode temperature and, thereby, to increase the maintenance of such lamps, ThO2 or tentatively La2O3 are added to the electrode material. They generate a reduced work function by establishing a monolayer of emitter atoms on the tungsten surface. Emitter enrichments on the lateral surface of doped cathodes are formed. They are traced back to transport mechanisms of emitter oxides in the interior of the electrode and on the electrode surface in dependence of the electrode temperature and to the redeposition of vaporized and ionized emitter atoms onto the cathode tip by the electric field in front. The investigation is undertaken by means of glow discharge mass spectrometry, scanning electron microscope images, energy dispersive x-ray spectroscopy, and through measurements of the optical surface emissivity. The effect of emitter enrichments on the stability of the arc attachment is presented by means of temporally resolved electrode temperature measurements and by measurements of the luminous flux from the cathode-near plasma. They show that the emitter enrichments on the lateral surface of the cathode are attractive for the arc attachment if the emitter at the cathode tip is depleted. In this case, it moves along the lateral surface from the cathode tip to sections of the cathode with a reduced work function. It induces a temporary variation of the cathode tip temperature and of the light intensity from the cathode-near plasma, a so-called flickering. In particular, in case of lanthanated cathodes, strong flickering is observed. (c) 2015 AIP Publishing LLC.
    view abstract10.1063/1.4926617
  • Non-Thermal Dielectric Barrier Discharge (DBD) effects on proliferation and differentiation of human fibroblasts are primary mediated by hydrogen peroxide
    Balzer, J. and Heuer, K. and Demir, E. and Hoffmanns, M.A. and Baldus, S. and Fuchs, P.C. and Awakowicz, P. and Suschek, C.V. and Opländer, C.
    PLoS ONE 10 (2015)
    The proliferation of fibroblasts and myofibroblast differentiation are crucial in wound healing and wound closure. Impaired wound healing is often correlated with chronic bacterial contamination of the wound area. A new promising approach to overcome wound contamination, particularly infection with antibiotic-resistant pathogens, is the topical treatment with non-thermal “cold” atmospheric plasma (CAP). Dielectric barrier discharge (DBD) devices generate CAP containing active and reactive species, which have antibacterial effects but also may affect treated tissue/cells. Moreover, DBD treatment acidifies wound fluids and leads to an accumulation of hydrogen peroxide (H2O2) and nitric oxide products, such as nitrite and nitrate, in the wound. Thus, in this paper, we addressed the question of whether DBD-induced chemical changes may interfere with wound healing-relevant cell parameters such as viability, proliferation and myofibroblast differentiation of primary human fibroblasts. DBD treatment of 250 μl buffered saline (PBS) led to a treatment time-dependent acidification (pH 6.7; 300 s) and coincidently accumulation of nitrite (~300 μM), nitrate (~1 mM) and H2O2 (~200 μM). Fibroblast viability was reduced by single DBD treatments (60–300 s; ~77–66%) or exposure to freshly DBD-treated PBS (60–300 s; ~75–55%), accompanied by prolonged proliferation inhibition of the remaining cells. In addition, the total number of myofibroblasts was reduced, whereas in contrast, the myofibroblast frequency was significantly increased 12 days after DBD treatment or exposure to DBD-treated PBS. Control experiments mimicking DBD treatment indicate that plasma-generated H2O2 was mainly responsible for the decreased proliferation and differentiation, but not for DBD-induced toxicity. In conclusion, apart from antibacterial effects, DBD/CAP may mediate biological processes, for example, wound healing by accumulation of H2O2. Therefore, a clinical DBD treatment must be well-balanced in order to avoid possible unwanted side effects such as a delayed healing process.
    view abstract10.1371/journal.pone.0144968
  • Phase resolved analysis of the homogeneity of a diffuse dielectric barrier discharge
    Baldus, S. and Kogelheide, F. and Bibinov, N. and Stapelmann, K. and Awakowicz, P.
    Journal of Physics D: Applied Physics 48 (2015)
    Cold atmospheric pressure plasmas have already proven their ability of supporting the healing process of chronic wounds. Especially simple configurations like a dielectric barrier discharge (DBD), comprising of one driven electrode which is coated with a dielectric layer, are of interest, because they are cost-effective and easy to handle. The homogeneity of such plasmas during treatment is necessary since the whole wound should be treated evenly. In this investigation phase resolved optical emission spectroscopy is used to investigate the homogeneity of a DBD. Electron densities and reduced electric field distributions are determined with temporal and spatial resolution and the differences for applied positive and negative voltage pulses are studied. © 2015 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/48/37/375202
  • Physics of the Advanced Plasma Source: A review of recent experimental and modeling approaches
    Brinkmann, R.P. and Harhausen, J. and Schröder, B. and Lapke, M. and Storch, R. and Styrnoll, T. and Awakowicz, P. and Foest, R. and Hannemann, M. and Loffhagen, D. and Ohl, A.
    Plasma Physics and Controlled Fusion 58 (2015)
    The Advanced Plasma Source (APS), a gridless hot cathode glow discharge capable of generating an ion beam with an energy of up to 150 eV and a flux of 1019s-1, is a standard industrial tool for the process of plasma ion-assisted deposition (PIAD). This manuscript details the results of recent experimental and modeling work aimed at a physical understanding of the APS. A three-zone model is proposed which consists of (i) the ionization zone (the source itself) where the plasma is very dense, hot, and has a high ionization rate, (ii) the acceleration zone (of ~20 cm extension) where a strong outward-directed electric field accelerates the primary ions to a high kinetic energy, and (iii) a drift zone (the rest of the process chamber) where the emerging plasma beam is further modified by resonant charge exchange collisions that neutralize some of the energetic ions and generate, at the same time, a flux of slow ions. © 2016 IOP Publishing Ltd.
    view abstract10.1088/0741-3335/58/1/014033
  • Spectroscopic and Microscopic Investigations of Degradation Processes in Polymer Surface-Near Regions during the Deposition of SiOx Films
    Mitschker, F. and Dietrich, J. and Ozkaya, B., Dr. and De los Arcos, T., Dr. and Giner, I., Dr. and Awakowicz, P., Prof. and Grundmeier, G., Prof.
    Plasma Processes and Polymers 12 (2015)
    Atomic oxygen densities and fluences in a microwave plasma are determined by means of optical emission spectroscopy for different oxygen to hexamethyldisiloxane (HMDSO) ratios during deposition of SiO<inf>x</inf> and SiO<inf>x</inf>C<inf>y</inf>H<inf>z</inf> like coatings on molecularly defined organic surfaces. The plasma coatings are deposited on octadecanethiol self-assembled monolayers that serve as a sensor layer. They are used for tracing the interfacial changes induced during plasma deposition as a function of the O<inf>2</inf> to HMDSO ratio and absolutely quantified atomic oxygen fluence. The interfacial chemical changes are monitored by means of polarization modulation IR reflection-absorption spectroscopy. The data reveal that significant oxidative degradation of the sensor layer is reached for exposure to an atomic oxygen fluence of 1.0 · 1022 m-2. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201500085
  • The gas phase emitter effect of lanthanum within ceramic metal halide lamps and its dependence on the la vapor pressure and operating frequency
    Ruhrmann, C. and Hoebing, T. and Bergner, A. and Groeger, S. and Denissen, C. and Suijker, J. and Awakowicz, P. and Mentel, J.
    Journal of Applied Physics 118 (2015)
    The gas phase emitter effect increases the lamp lifetime by lowering the work function and, with it, the temperature of the tungsten electrodes of metal halide lamps especially for lamps in ceramic vessels due to their high rare earth pressures. It is generated by a monolayer on the electrode surface of electropositive atoms of certain emitter elements, which are inserted into the lamp bulb by metal iodide salts. They are vaporized, dissociated, ionized, and deposited by an emitter ion current onto the electrode surface within the cathodic phase of lamp operation with a switched-dc or ac-current. The gas phase emitter effect of La and the influence of Na on the emitter effect of La are studied by spatially and phase-resolved pyrometric measurements of the electrode tip temperature, La atom, and ion densities by optical emission spectroscopy as well as optical broadband absorption spectroscopy and arc attachment images by short time photography. An addition of Na to the lamp filling increases the La vapor pressure within the lamp considerably, resulting in an improved gas phase emitter effect of La. Furthermore, the La vapor pressure is raised by a heating of the cold spot. In this way, conditions depending on the La vapor pressure and operating frequency are identified, at which the temperature of the electrodes becomes a minimum. © 2015 AIP Publishing LLC.
    view abstract10.1063/1.4927734
  • The Planar Multipole Resonance Probe: Challenges and Prospects of a Planar Plasma Sensor
    Schulz, C. and Styrnoll, T. and Awakowicz, P. and Rolfes, I.
    IEEE Transactions on Instrumentation and Measurement 64 (2015)
    A novel compact plasma sensor applicable for the supervision and control of industrial plasma processes is presented in this contribution. Based on the multipole resonance probe (MRP), the new planar MRP (pMRP) is introduced as a powerful and economical monitoring tool, flush-mounted into the reactor wall. Hence, it can be used for an effective suppression of disturbances of the plasma process itself. Using 3D electromagnetic field simulations with CST Microwave Studio, the pMRP is investigated and challenges as well as prospects of the new sensor design are discussed in detail. Three different sensor versions are presented and compared with the resonance behavior of the MRP. Furthermore, limitations concerning position tolerances are shown and the suitability of the pMRP is proven. Measurements in a double inductive coupled plasma, with argon as process gas and varying excitation powers, demonstrate the suitability of the pMRP for monitoring purposes. © 1963-2012 IEEE.
    view abstract10.1109/TIM.2014.2358111
  • The topical use of non-thermal dielectric barrier discharge (DBD): Nitric oxide related effects on human skin
    Heuer, K. and Hoffmanns, M.A. and Demir, E. and Baldus, S. and Volkmar, C.M. and Röhle, M. and Fuchs, P.C. and Awakowicz, P. and Suschek, C.V. and Opländer, C.
    Nitric Oxide - Biology and Chemistry 44 (2015)
    Dielectric barrier discharge (DBD) devices generate air plasma above the skin containing active and reactive species including nitric oxide (NO). Since NO plays an essential role in skin physiology, a topical application of NO by plasma may be useful in the treatment of skin infections, impaired microcirculation and wound healing. Thus, after safety assessments of plasma treatment using human skin specimen and substitutes, NO-penetration through the epidermis, the loading of skin tissue with NO-derivates in vitro and the effects on human skin in vivo were determined. After the plasma treatment (0-60 min) of skin specimen or reconstructed epidermis no damaging effects were found (TUNEL/MTT). By Franz diffusion cell experiments plasma-induced NO penetration through epidermis and dermal enrichment with NO related species (nitrite 6-fold, nitrate 7-fold, nitrosothiols 30-fold) were observed. Furthermore, skin surface was acidified ( ~ pH 2.7) by plasma treatment (90 s). Plasma application on the forearms of volunteers increased microcirculation fourfold in 1-2 mm and twofold in 6-8 mm depth in the treated skin areas. Regarding the NO-loading effects, skin acidification and increase in dermal microcirculation, plasma devices represent promising tools against chronic/infected wounds. However, efficacy of plasma treatment needs to be quantified in further studies and clinical trials. © 2014 Elsevier Inc. All rights reserved.
    view abstract10.1016/j.niox.2014.11.015
  • Unraveling the interactions between cold atmospheric plasma and skin-components with vibrational microspectroscopy
    Kartaschew, K. and Mischo, M. and Baldus, S. and Bründermann, E. and Awakowicz, P. and Havenith, M.
    Biointerphases 10 (2015)
    Using infrared and Raman microspectroscopy, the authors examined the interaction of cold atmospheric plasma with the skin's built-in protective cushion, the outermost skin layer stratum corneum. Following a spectroscopic analysis, the authors could identify four prominent chemical alterations caused by plasma treatment: (1) oxidation of disulfide bonds in keratin leading to a generation of cysteic acid; (2) formation of organic nitrates as well as (3) of new carbonyl groups like ketones, aldehydes and acids; and (4) reduction of double bonds in the lipid matter lanolin, which resembles human sebum. The authors suggest that these generated acidic and NO-containing functional groups are the source of an antibacterial and regenerative environment at the treatment location of the stratum corneum. Based upon the author's results, the authors propose a mechanistic view of how cold atmospheric plasmas could modulate the skin chemistry to produce positive long-term effects on wound healing: Briefly, cold atmospheric plasmas have the potential to transform the skin itself into a therapeutic resource. © 2015 American Vacuum Society.
    view abstract10.1116/1.4919610
  • A H2 very high frequency capacitively coupled plasma inactivates glyceraldehyde 3-phosphate dehydrogenase(GapDH) more efficiently than UV photons and heat combined
    Stapelmann, K. and Lackmann, J.-W. and Buerger, I. and Bandow, J.E. and Awakowicz, P.
    Journal of Physics D: Applied Physics 47 (2014)
    Plasma sterilization is a promising alternative to commonly used sterilization techniques, because the conventional methods suffer from certain limitations, e.g. incompatibility with heat-sensitive materials, or use of toxic agents. However, plasma-based sterilization mechanisms are not fully understood yet. A low-pressure very high frequency capacitively coupled plasma is used to investigate the impact of a hydrogen discharge on the protein glyceraldehyde 3-phosphate dehydrogenase (GapDH). GapDH is an enzyme of glycolysis. As a part of the central metabolism, it occurs in nearly all organisms from bacteria to humans. The plasma is investigated with absolutely calibrated optical emission spectroscopy in order to identify and to quantify plasma components that can contribute to enzyme inactivation. The contribution of UV photons and heat to GapDH inactivation is investigated separately, and neither seems to be a major factor. In order to investigate the mechanisms of GapDH inactivation by the hydrogen discharge, samples are investigated for etching, induction of amino acid backbone breaks, and chemical modifications. While neither etching nor strand breaks are observed, chemical modifications occur at different amino acid residues of GapDH. Deamidations of asparagines as well as methionine and cysteine oxidations are detected after VHF-CCP treatment. In particular, oxidation of the cysteine in the active centre is known to lead to GapDH inactivation. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/8/085402
  • Adhesion of thin CVD films on pulsed plasma pre-treated polypropylene
    Behm, H. and Bahroun, K. and Bahre, H. and Kirchheim, D. and Mitschker, F. and Bibinov, N. and Böke, M. and Dahlmann, R. and Awakowicz, P. and Hopmann, C. and Winter, J.
    Plasma Processes and Polymers 11 (2014)
    The adhesion of thin CVD films on polyolefins is often critical due to the low surface free energy of the polymers. In this study, injection moulded PP samples are produced and investigated. The samples are treated in very well-characterized pulsed plasmas before a HMDSO-based coating is applied. The resulting bond strength is analyzed using pull-off tests. The fractured interfaces are characterized with XPS. Oxygen and argon plasma pre-treatments of the PP samples result in a bond strength improvement by a factor of about 2. Comparing oxygen and argon pre-treatments at equal ion fluences to the surface, it can be shown that the bond strength between CVD-coating and polymer is similar. The influence of well-defined argon and oxygen pre-treatment plasmas on the adhesion of silicon organic CVD films (SiOCH) on polypropylene (PP) is investigated. Very short pre-treatment times result in an increase in bond strength by a factor of 2. Measurements show a dependency of the ion fluence on the surface on the bond strength between CVD film and PP in the region of best adhesion. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201300128
  • Carbon-based micro-ball and micro-crystal deposition using filamentary pulsed atmospheric pressure plasma
    Pothiraja, R. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 47 (2014)
    Thin plasma filaments are produced by the propagation of ionization waves from a spiked driven electrode in a quartz tube in an argon/methane gas mixture (2400sccm/2sccm) at atmospheric pressure. The position of the touch point of filaments on the substrate surface is controlled in our experiment by applying various suitable substrate configurations and geometries of the grounded electrode. The gas conditions at the touch point are varied from argon to ambient air. Based on microphotography and discharge current waveforms, the duration of the filament touching the substrate is estimated to be about one microsecond. Carbon-based materials are deposited during this time at the touch points on the substrate surface. Micro-balls are produced if the filament touch points are saved from ambient air by the argon flow. Under an air admixture, micro-crystals are formed. The dimension of both materials is approximately one micrometre (0.5-2m) and corresponds to about 1010-1012 carbon atoms. Neither the diffusion of neutral species nor drift of ions can be reason for the formation of such a big micro-material during this short period of filament-substrate interaction. It is possible that charged carbon-based materials are formed in the plasma channel and transported to the surface of the substrate. The mechanism of this transport and characterization of micro-materials, which are formed under different gas conditions in our experiment, will be studied in the future. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/31/315203
  • Characterization and Optimization Technique for Microwave-Driven High-Intensity Discharge Lamps Using Hot S-Parameters
    Holtrup, S. and Sadeghfam, A. and Heuermann, H. and Awakowicz, P.
    IEEE Transactions on Microwave Theory and Techniques 62 (2014)
    High-intensity discharge lamps can be driven by radio-frequency signals in the ISM frequency band at 2.45 GHz, using a matching network to transform the impedance of the plasma to the source impedance. To achieve an optimal operating condition, a good characterization of the lamp in terms of radio frequency equivalent circuits under operating conditions is necessary, enabling the design of an efficient matching network. This paper presents the characterization technique for such lamps and presents the design of the required matching network. For the characterization, a high-intensity discharge lamp was driven by a monofrequent large signal at 2.45 GHz, whereas a frequency sweep over 300 MHz was performed across this signal to measure so-called small-signal hot S-parameters using a vector network analyzer. These parameters are then used as an equivalent load in a circuit simulator to design an appropriate matching network. Using the measured data as a black-box model in the simulation results in a quick and efficient method to simulate and design efficient matching networks in spite of the complex plasma behavior. Furthermore, photometric analysis of high-intensity discharge lamps are carried out, comparing microwave operation to conventional operation.
    view abstract10.1109/TMTT.2014.2342652
  • Gas Phase Emitter Effect of Thulium within Ceramic Metal Halide Lamps in Dependence on Frequency
    Ruhrmann, C. and Depta, M. and Bergner, A. and Höbing, T. and Denissen, C. and Suijker, J. and Mentel, J. and Awakowicz, P.
    Contributions to Plasma Physics 54 (2014)
    The gas phase emitter effect within ceramic metal halide (CMH) lamps reduces the effective work function of the electrode material and, therewith, the electrode temperature. An investigation of the gas phase emitter effect of thulium (Tm) within CMH lamps seeded with Tm iodide (TmI3) is carried out. For this purpose, phase resolved images of the arc attachment and measurements of the electrode temperature, Tm atom and ion densities are performed in dependence on operating frequency by pyrometry and optical emission spectroscopy. Additionally, the influence of a sodium iodide (NaI) admixture is studied. The emitter effect is generated by means of a monolayer of Tm atoms on the electrode surface generated by a Tm ion current within the cathodic phase. It overlaps onto the anodic phase at higher frequencies of some hundreds of hertz. The reason is the finite life time of the monolayer, which is determined by the adsorption energy of Tm on the tungsten surface. Due to the low electric field strength in front of the anode and the mass inertia, the emitter ions and atoms remain in front of the anode. They retard the decay of the monolayer and with it the increase of the work function. Moreover, a comparison of a lamp seeded with TmI3 and sodium iodide (NaI) with a lamp seeded only with TmI3 illustrates a slight reduction of the electrode tip temperature caused by a higher Tm saturation vapour pressure and a higher Tm amount within the lamp filling. The influence of Na appears to be quite low. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ctpp.201300042
  • Influence of layer type and order on barrier properties of multilayer PECVD barrier coatings
    Bahroun, K. and Behm, H. and Mitschker, F. and Awakowicz, P. and Dahlmann, R. and Hopmann, C.
    Journal of Physics D: Applied Physics 47 (2014)
    Due to their macromolecular structure, plastics are limited in their scope of application whenever high barrier functionality against oxygen and water vapour permeation is required. One solution is the deposition of thin silicon oxide coatings in plasma-enhanced chemical vapour deposition (PECVD) processes. A way to improve performance of barrier coatings is the use of multilayer structures built from dyad layers, which combine an inorganic barrier layer and an organic intermediate layer. In order to investigate the influence of type and number of dyads on the barrier performance of coated 23 m PET films, different dyad setups are chosen. The setups include SiOCH interlayers and SiO x-barrier layers deposited using the precursor hexamethyldisiloxane (HMDSO). A single reactor setup driven in pulsed microwave plasma (MW) mode as well as capacitively coupled plasma (CCP) mode is chosen. In this paper the effects of a variation in intermediate layer recipe and stacking order using dyad setups on the oxygen barrier properties of multilayer coatings are discussed with regard to the chemical structure, morphology and activation energy of the permeation process. Changes in surface nano-morphology of intermediate layers have a strong impact on the barrier properties of subsequent glass-like coatings. Even a complete failure of the barrier is observed. Therefore, when depositing multilayer barrier coatings, stacking order has to be considered. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/1/015201
  • Investigating the outer-bulb discharge as ignition aid for automotive-HID lamps
    Bergner, A. and Groeger, S. and Hoebing, T. and Ruhrmann, C. and Hechtfischer, U. and Tochadse, G. and Mentel, J. and Awakowicz, P.
    Journal of Physics D: Applied Physics 47 (2014)
    This work considers the ignition process of mercury-free high-intensity discharge lamps used for car headlights. These lamps have to run-up fast. This is achieved with a high xenon pressure of about 15bar (cold) in the inner bulb. The high filling-gas pressure causes an increased ignition voltage compared with lower-pressure lamps used in general-lighting applications. In this paper the possibility is investigated to reduce the ignition voltage by optimizing a dielectric-barrier discharge (DBD) in the outer bulb working as ignition aid. A special outer bulb was built up allowing gas exchange and adjustment of the gas pressure. For diagnostic purposes different electrical and optical methods are used, namely the recording of ignition voltage, ignition current and light emission by a photo-diode signal on nanosecond time scale as well as short-time photography by a intensified charge-coupled device camera. It was found that the DBD mainly generates a potential distribution within the lamp which supports ignition by an increase in the E-field in front of the electrodes and the wall. It is shown that this effect is distinctly more effective than UV radiation potentially emitted by the DBD. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/35/355204
  • On the electrical asymmetry effect in large area multiple frequency capacitively coupled plasmas
    Bienholz, S. and Styrnoll, T. and Awakowicz, P.
    Journal of Physics D: Applied Physics 47 (2014)
    Recently, many publications have dealt with the electrical asymmetry effect in capacitively coupled radio frequency-discharges. The idea of this concept is the possibility of controlling the self-bias voltage by tuning the relative phase of harmonics in relation to the fundamental wave. In this work, we apply the electrical asymmetry effect on a large-area multiple frequency capacitively coupled plasma used for reactive sputtering by varying the relative phase of the 13.56 and 27.12 MHz excitation. The resulting voltage waveforms at the electrode are recorded using a high-voltage probe. The shape of the waveform is then analysed by Fourier analysis to study the influence of higher harmonics excited at the non-linearity of the plasma boundary sheath. To investigate the influence of the relative phase on the plasma itself, radially resolved multipole resonance probe measurements are performed. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/6/065201
  • Plasmoids for etching and deposition
    Pothiraja, R. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 47 (2014)
    In this manuscript we show fascinating properties of plasmoids, which are known to be self-sustained plasma entities, and can exist without being in contact with any power supply. Plasmoids are produced in a filamentary discharge in a Ar/CH4 mixture with a high production rate of about 105 s-1. It is observed that plasmoids etch the solid amorphous hydrocarbon film with high efficiency. Energy density of the plasmoid, which is estimated on the basis of glowing area of plasmoids in the photographic image and sublimation enthalpy of the etched hydrocarbon film, amounts to about 90 J m-3. This value is much lower than the energy density of observed ball lightning (natural plasmoid). A very surprising property is an attraction between plasmoids, and the formation of plasmoid-groups. Because of this attractive force, carbon material, which is collected in plasmoids by etching of the hydrocarbon film or by propagation through a methane/argon gas mixture, is compressed into crystals. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/45/455203
  • Simulation of the hot core mode of arc attachment at a thoriated tungsten cathode by an emitter spot model
    Bergner, A. and Scharf, F.H. and Kühn, G. and Ruhrmann, C. and Hoebing, T. and Awakowicz, P. and Mentel, J.
    Plasma Sources Science and Technology 23 (2014)
    Recently, a constricted attachment of an atmospheric pressure low-current argon arc in the centre of the flat end face of a thoriated tungsten cathode was observed and spectroscopically analysed. Its diameter of 0.6 mm and its length of the free standing part of 10 mm are the typical dimensions of electrodes for high-intensity discharge lamps. This paper gives a physical interpretation of the axially symmetric arc spot by a simulation of its properties with a cathodic sheath model which takes into account a reduction in the work function above a critical temperature of the cathode surface by a thorium ion current. At first the optical observation and spectroscopic investigations are recapitulated. Then, an overview is given on the essential elements which are needed to simulate the cathodic arc attachment on a hot electrode. A simulation of a central cathode spot with these elements gives results which are far away from the experimental findings if a constant work function φ is used. Therefore, a temperature-dependent work function φ(T) is introduced. This φ(T) transitions from 4.55 to 3 eV above temperatures of the order of 3000 K. With this emitter spot model a constricted arc attachment is obtained by simulation in the centre of the flat end face of the cathode in accordance with experiment. For currents below iarc,max ≈ 15.5 A, two spot solutions with different cathode falls are found. They form a current-voltage-characteristic consisting of two branches which extend from a turning point at iarc,max to lower currents. For iarc > iarc,max, only a diffuse mode of cathodic arc attachment is obtained. It is shown by a comparison with measured data for iarc= 7.5, 10, 12.5 and 15 A that the solution with the lower cathode fall is observed experimentally. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/23/5/054005
  • Study on electrostatic and electromagnetic probes operated in ceramic and metallic depositing plasmas
    Styrnoll, T. and Bienholz, S. and Lapke, M. and Awakowicz, P.
    Plasma Sources Science and Technology 23 (2014)
    This paper discusses plasma probe diagnostics, namely the multipole resonance probe (MRP) and Langmuir probe (LP), operated in depositing plasmas. The aim of this work is to show that the combination of both probes provides stable and robust measurements and clear determination of plasma parameters for metallic and ceramic coating processes. The probes use different approaches to determine plasma parameters, e.g. electron density ne and electron temperature Te. The LP is a well-established plasma diagnostic, and its applicability in technological plasmas is well documented. The LP is a dc probe that performs a voltage sweep and analyses the measured current, which makes it insensitive against conductive metallic coating. However, once the LP is dielectrically coated with a ceramic film, its functionality is constricted. In contrast, the MRP was recently presented as a monitoring tool, which is insensitive to coating with dielectric ceramics. It is a new plasma diagnostic based on the concept of active plasma resonance spectroscopy, which uses the universal characteristic of all plasmas to resonate on or near the electron plasma frequency. The MRP emits a frequency sweep and the absorption of the signal, the |S11| parameter, is analysed. Since the MRP concept is based on electromagnetic waves, which are able to transmit dielectrics, it is insensitive to dielectric coatings. But once the MRP is metallized with a thin conductive film, no undisturbed RF-signal can be emitted into the plasma, which leads to falsified plasma parameter. In order to compare both systems, during metallic or dielectric coating, the probes are operated in a magnetron CCP, which is equipped with a titanium target. We present measurements in metallic and dielectric coating processes with both probes and elaborate advantages and problems of each probe operated in each coating environment. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/23/2/025013
  • The effect of active antennas on the hot-restrike of high intensity discharge lamps
    Hoebing, T. and Bergner, A. and Koch, B. and Manders, F. and Ruhrmann, C. and Mentel, J. and Awakowicz, P.
    Journal of Physics D: Applied Physics 47 (2014)
    The ignition voltage of high intensity discharge (HID) lamps with mercury as the buffer gas may rise from 3 kV for the cold state up to more than 15kV for a hot lamp. By coating a lamp burner with an electrically conductive layer, which operates as an active antenna, the ignition voltage of HID lamps can be significantly reduced. An active antenna connected to one of the lamp electrodes transports the potential from this electrode to the vicinity of the opposite electrode and generates an enhanced electric field inside the burner. On applying a symmetrically shaped ignition pulse, a weak pre-discharge within the first half-cycle produces free charge carriers initiating ignition of the lamp within the subsequent second half-cycle. The authors present a set-up for electrical and optical investigations of hot-restrike in HID lamps. The ignition voltage is measured for two different polarities as a function of the cooldown time. An analysis of its reduction is given. Furthermore, the pre-discharge is investigated by means of short-time photography. It is demonstrated that a negative polarity of the active antenna within the first half-cycle and a positive polarity within the second one is the most effective succession. © 2014 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/47/20/205501
  • The multipole resonance probe: Progression and evaluation of a process compatible plasma sensor
    Schulz, C. and Styrnoll, T. and Storch, R. and Awakowicz, P. and Musch, T. and Rolfes, I.
    IEEE Sensors Journal 14 (2014)
    A robust and sensitive plasma sensor, the multipole resonance probe (MRP), and its process compatibility are presented and discussed in this paper. Based on its innovative concept and simple model describing the system 'probe-plasma', three steps of development are introduced. 3D electromagnetic field simulations are applied as an indispensable tool for an economical and efficient investigation and optimization of different sensor layouts. Independent of the chosen sensor design, a developed pulse-based measurement device yields an economical signal generation and evaluation. Electron density profiles, determined with the MRP and the pulse-based system utilized in a capacitive coupled plasma, confirm and demonstrate the simulation results and the measurement concept, respectively. © 2014 IEEE.
    view abstract10.1109/JSEN.2014.2333659
  • A study of electrode temperature lowering in Dy-containing ceramic metal halide lamps: I. the effect of mixtures of Dy, Tl and Na compared with pure Dy
    Westermeier, M. and Ruhrmann, C. and Bergner, A. and Denissen, C. and Suijker, J. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 46 (2013)
    The reduction in the electrode temperature by the gas phase emitter effect of dysprosium in ceramic metal halide lamps is investigated within special research lamps in dependence on the operating frequency of switched-dc lamp currents. The lamp tubes are made of transparent YAG material. They are filled with a fixed amount of Hg, which produces a buffer gas during lamp operation at a pressure of 2 MPa, with different amounts of DyI3 and in part with different amounts of NaI plus TlI. The Dy atomic ground state density is measured phase resolved both in the middle of the discharge and in front of the upper electrode by broad band absorption spectroscopy. The Dy ion density in front of the electrode is evaluated from line intensities being measured in absolute units by emission spectroscopy. The electrode tip temperature is determined by a 1λ - 2D pyrometric measuring method. It is found that a high Dy ion density in front of the electrode is correlated with a strong reduction in the electrode tip temperature relating to a pure mercury lamp. At low operating frequencies (f 100 Hz) the Dy ion density and the temperature reduction is high within the cathodic phase and low within the anodic phase, at higher operating frequencies an increased Dy ion density and a reduction in the electrode tip temperature overlaps onto the anodic phase. The Dy ion density is reduced and with it the tip temperature drop by an addition of Na and Tl vapour to the lamp plasma. The effect of Tl and Na is investigated in more detail in a successive paper. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/18/185201
  • A study of electrode temperature lowering in Dy-containing ceramic metal halide lamps: II. An investigation of the converse effect of Tl and/or Na additives
    Westermeier, M. and Ruhrmann, C. and Bergner, A. and Denissen, C. and Suijker, J. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 46 (2013)
    The lowering of the gas phase emitter effect of Dy in ceramic metal halide lamps by the admixture of TlI and NaI to the rare earth iodide salt DyI 3 is investigated at lamps with different additives. The arcs are operated in an Hg buffer gas atmosphere of 2 MPa between rod-shaped pure tungsten electrodes within transparent YAG lamp tubes with a switched-dc current at operating frequencies from 1 Hz to 1 kHz. The atomic ground state density of Dy is measured phase resolved half way between the electrodes and in front of an electrode by broad band absorption spectroscopy, the Dy ion density in front of an electrode by emission spectroscopy and the electrode tip temperature pyrometrically within lamps seeded with differently composed fillings. The measurements confirm that a strong reduction in the electrode tip temperature is correlated with a high Dy ion density in front of the electrode within the cathodic half period. The Dy ion density is depressed predominantly and with it the reduction in the electrode tip temperature by a competing ionization of Tl, and in addition by a lowering of the Dy vapour pressure above the pool of molten salt by TlI. The influence of Na is of minor importance. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/18/185202
  • Characterization of atmospheric-pressure ac micro-discharge in He-N 2 mixture using time- and space-resolved optical emission spectroscopy
    Pothiraja, R. and Ruhrmann, C. and Engelhardt, M. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 46 (2013)
    An ac discharge is ignited with the frequency of 170 kHz at the tip of a sharpened electrode in He-N2 gas mixture under atmospheric pressure. Plasma parameters (electron density and reduced electric field) are determined using phase-resolved optical emission spectroscopy. An absolutely calibrated ICCD camera with an appropriate filter is used for the time- and space-resolved measurement of N2 (C-B,0-2) as well as N2 +(B-X,0-0) emissions. From the temporal and spatial distributions of these emission bands, time- and space-resolved plasma parameters are determined. Limits of time and space resolutions of this diagnostic method are discussed. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/46/464012
  • Characterization of low-pressure microwave and radio frequency discharges in oxygen applying optical emission spectroscopy and multipole resonance probe
    Steves, S. and Styrnoll, T. and Mitschker, F. and Bienholz, S. and Nikita, B. and Awakowicz, P.
    Journal of Physics D: Applied Physics 46 (2013)
    Optical emission spectroscopy (OES) and multipole resonance probe (MRP) are adopted to characterize low-pressure microwave (MW) and radio frequency (RF) discharges in oxygen. In this context, both discharges are usually applied for the deposition of permeation barrier SiOx films on plastic foils or the inner surface of plastic bottles. For technological reasons the MW excitation is modulated and a continuous wave (cw) RF bias is used. The RF voltage produces a stationary low-density plasma, whereas the high-density MW discharge is pulsed. For the optimization of deposition process and the quality of the deposited barrier films, plasma conditions are characterized using OES and MRP. To simplify the comparison of applied diagnostics, both MW and RF discharges are studied separately in cw mode. The OES and MRP diagnostic methods complement each other and provide reliable information about electron density and electron temperature. In the MW case, electron density amounts to n e = (1.25 ± 0.26) x 10^17 m-3, and kTe to 1.93 ± 0.20 eV, in the RF case ne = (6.8 ± 1.8) x 10^15 m-3 and kTe = 2.6 ± 0.35 eV. The corresponding gas temperatures are 760±40 K and 440±20 K. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/44/445201
  • Combined in situ FTIR-spectroscopic and electrochemical analysis of nanopores in ultra-thin SiOx-like plasma polymer barrier films
    Liu, C.-N. and Ozkaya, B. and Steves, S. and Awakowicz, P. and Grundmeier, G.
    Journal of Physics D: Applied Physics 46 (2013)
    Plasma polymerized SiOx barrier films were investigated by means of in situ spectroscopic and electrochemical methods to correlate the process parameters such as applied substrate bias with the resulting barrier properties. SiOx layers with various hexamethyldisiloxane/oxygen ratio were deposited with and without applied substrate bias. The resulting film morphologies were characterized by means of atomic force microscopy, and the presence of nanopores was analysed by cyclic voltammetry. In order to compare the film density and the presence of nanopore structure, evaluation of interfacial hydroxyl groups was performed by means of discrete polarization modulation Fourier transform infrared reflection-absorption spectroscopy in atmospheres with controlled partial pressures of H2O or D 2O. It could be shown that the electrochemical and in situ spectroscopic approach allows for the analysis of nanopores and that a clear correlation of process parameters and film structure can be established. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/8/084015
  • Combining emission and absorption spectroscopy at rare earth spectral lines: Plasma temperature measurements in ceramic metal halide lamps
    Ruhrmann, C. and Westermeier, M. and Höbing, T. and Bergner, A. and Denissen, C. and Suijker, J. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 46 (2013)
    Presently, most high intensity discharge (HID) lamps contain mercury to generate a high pressure buffer gas and thereby an appropriate power input into the arc. Due to its toxicity, the replacement of Hg is of particular interest in recent research on HID lamps. Up to now, the emission coefficient of an atomic Hg double line is widely used to determine the plasma temperature Tpl in HID lamps. Tpl is needed to calculate the total density of atoms and ions of elements inside these lamps. A combination of optical emission and broadband absorption spectroscopy allows us to evaluate Tpl independently of Hg emission lines. The method is required for a determination of Tpl if the Hg line intensity within the investigated lamp is too low, is superimposed by other lines or if environmental-friendly Hg-free lamps are developed. Within this work, phase-resolved plasma temperatures are determined in front of the electrode of Hg-containing MH lamps by emission spectroscopy at atomic Hg lines. Above all, temperatures are measured by a combination of emission and absorption spectroscopy at atomic rare earth lines, namely Dy and Tm. A comparison of Tpl determined by both methods agree within an error margin of < 10%. Total phase-resolved rare earth atom densities are obtained by means of the measured ground state densities and Tpl. The combination of emission and absorption spectroscopy is also applied to the bulk plasma of lamps where the intensity of the Hg emission lines is too low for plasma temperature measurements or Hg is absent. It provides the partial rare earth pressure and by comparison with thermodynamic data cold spot temperatures within the lamps. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/29/295202
  • Electrical and spectroscopic characterization of a surgical argon plasma discharge
    Keller, S. and Bibinov, N. and Neugebauer, A. and Awakowicz, P.
    Journal of Physics D: Applied Physics 46 (2013)
    For electrosurgical procedures, the argon plasma coagulation (APC) discharge is a well-established atmospheric-pressure plasma tool for thermal haemostasis and devitalization of biological tissue. To characterize this plasma source, voltage-current measurements, microphotography, optical emission spectroscopy and numerical simulation are applied. Two discharge modes are established during the operation of the APC plasma source. A short transient spark discharge is ignited within the positive half period of the applied high voltage after a streamer channel connects the APC probe and the counter-electrode. During the second phase, which continues under negative high voltage, a glow discharge is stabilized in the plasma channel. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/2/025402
  • Multiple frequency capacitively coupled plasmas as a new technology for sputter processes
    Bienholz, S. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 46 (2013)
    A novel large area multiple frequency coupled plasma is introduced for sputter deposition purposes. The discharge is driven by three different excitation frequencies (13.56, 27.12 and 60 MHz) simultaneously for advanced control of Ar ion flux and energy at the target by applying the electrical asymmetry effect during sputter processes. Optical emission spectroscopy is performed to characterize the sputter plasma with respect to plasma parameters as well as the Al transport through the plasma. The spectroscopic data are compared with TRIDYN calculation in combination with a simulation of the transport of atoms through the plasma volume. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/8/084010
  • Process diagnostics and monitoring using the multipole resonance probe in an inhomogeneous plasma for ion-assisted deposition of optical coatings
    Styrnoll, T. and Harhausen, J. and Lapke, M. and Storch, R. and Brinkmann, R.P. and Foest, R. and Ohl, A. and Awakowicz, P.
    Plasma Sources Science and Technology 22 (2013)
    The application of a multipole resonance probe (MRP) for diagnostic and monitoring purposes in a plasma ion-assisted deposition (PIAD) process is reported. Recently, the MRP was proposed as an economical and industry compatible plasma diagnostic device (Lapke et al 2011 Plasma Sources Sci. Technol. 20 042001). The major advantages of the MRP are its robustness against dielectric coating and its high sensitivity to measure the electron density. The PIAD process investigated is driven by the advanced plasma source (APS), which generates an ion beam in the deposition chamber for the production of high performance optical coatings. With a background neutral pressure of p 0 ~ 20 mPa the plasma expands from the source region into the recipient, leading to an inhomogeneous spatial distribution. Electron density and electron temperature vary over the distance from substrate (ne ~ 109 cm-3 and Te,eff ~ 2 eV) to the APS (ne >~ 1012 cm-3 and Te,eff ~ 20 eV) (Harhausen et al 2012 Plasma Sources Sci. Technol. 21 035012). This huge variation of the plasma parameters represents a big challenge for plasma diagnostics to operate precisely for all plasma conditions. The results obtained by the MRP are compared to those from a Langmuir probe chosen as reference diagnostics. It is demonstrated that the MRP is suited for the characterization of the PIAD plasma as well as for electron density monitoring. The latter aspect offers the possibility to develop new control schemes for complex industrial plasma environments. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/22/4/045008
  • Pulsed high-power plasmas for deposition of nanostructured thin films
    Awakowicz, P. and Czarnetzki, U.
    Journal of Physics D: Applied Physics 46 (2013)
    view abstract10.1088/0022-3727/46/8/080301
  • Silicon oxide barrier films deposited on PET foils in pulsed plasmas: Influence of substrate bias on deposition process and film properties
    Steves, S. and Ozkaya, B. and Liu, C.-N. and Ozcan, O. and Bibinov, N. and Grundmeier, G. and Awakowicz, P.
    Journal of Physics D: Applied Physics 46 (2013)
    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of ne = 3.8 ± 0.8 x 1017 m-3 and electron temperature of kBT e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than nO = 1.8 x 1021 m-3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/8/084013
  • Supervision and control of medical sterilization processes utilizing the multipole resonance probe
    Schulz, C. and Styrnoll, T. and Awakowicz, P. and Rolfes, I.
    2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications, IMWS-BIO 2013 - Proceedings (2013)
    An innovative and sensitive plasma probe suitable for the supervision and control of low-temperature plasma sterilization processes is presented in this contribution. For heat or chemical sensitive materials, plasmas are an indispensable tool regarding the sterilization of surgery instruments, for example. The presented Multipole Resonance Probe (MRP) allows for the simultaneous determination of plasma density, plasma temperature, and collision frequency by a simple and fast evaluation of its frequency response. Fed by an rf-signal, the MRP yields sensitive and local measurements for the determination of lowest fluctuations and for the application of a sensor network, respectively. With a minimal distance of 3 cm between two probes, the MRP can be deployed effectively as sensor network inside the plasma for the supervision of its stability and homogeneity. Based on 3D-electromagnetic field simulations the advantages of the MRP are discussed in detail. Compared to a Langmuir probe, measurements in a Double Inductive Coupled Plasma (DICP) show the suitability of the MRP inside an argon plasma. © 2013 IEEE.
    view abstract10.1109/IMWS-BIO.2013.6756158
  • Surface pre-treatment for barrier coatings on polyethylene terephthalate
    Bahre, H. and Bahroun, K. and Behm, H. and Steves, S. and Awakowicz, P. and Böke, M. and Hopmann, Ch. and Winter, J.
    Journal of Physics D: Applied Physics 46 (2013)
    Polymers have favourable properties such as light weight, flexibility and transparency. Consequently, this makes them suitable for food packaging, organic light-emitting diodes and flexible solar cells. Nonetheless, raw plastics do not possess sufficient barrier functionality against oxygen and water vapour, which is of paramount importance for most applications. A widespread solution is to deposit thin silicon oxide layers using plasma processes. However, silicon oxide layers do not always fulfil the requirements concerning adhesion and barrier performance when deposited on films. Thus, plasma pre-treatment is often necessary. To analyse the influence of a plasma-based pre-treatment on barrier performance, different plasma pre-treatments on three reactor setups were applied to a very smooth polyethylene terephthalate film before depositing a silicon oxide barrier layer. In this paper, the influence of oxygen and argon plasma pre-treatments towards the barrier performance is discussed examining the chemical and topological change of the film. It was observed that a short one-to-ten-second plasma treatment can reduce the oxygen transmission rate by a factor of five. The surface chemistry and the surface topography change significantly for these short treatment times, leading to an increased surface energy. The surface roughness rises slowly due to the development of small spots in the nanometre range. For very long treatment times, surface roughness of the order of the barrier layer's thickness results in a complete loss of barrier properties. During plasma pre-treatment, the trade-off between surface activation and roughening of the surface has to be carefully considered. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/8/084012
  • The multipole resonance probe: Evolution of a plasma sensor
    Schulz, C. and Rolfes, I. and Styrnoll, T. and Awakowicz, P. and Oberrath, J. and Mussenbrock, T. and Brinkmann, R.P. and Storch, R. and Musch, T.
    Proceedings of IEEE Sensors (2013)
    A robust and sensitive plasma probe, the multipole resonance probe (MRP), and its importance for industrial purposes is presented and discussed in this paper. Based on its innovative concept and its simple model of the system 'probe-plasma', a novel wall-mounted sensor is introduced. This sensor represents an optimized design of one sector of the MRP's assembly and is investigated within 3D-electromagnetic field simulations and compared to measurements of the MRP in an argon plasma. The resulting wall-mounted sensor can be designed for a desired application, which operates within a limited frequency range. The presented sensor covers a density range of approximately ne = 1016 m-3.. 1017 m-3, which is sufficient for the considered process. © 2013 IEEE.
    view abstract10.1109/ICSENS.2013.6688324
  • Time-resolved characterization of a filamentary argon discharge at atmospheric pressure in a capillary using emission and absorption spectroscopy
    Schröter, S. and Pothiraja, R. and Awakowicz, P. and Bibinov, N. and Böke, M. and Niermann, B. and Winter, J.
    Journal of Physics D: Applied Physics 46 (2013)
    An argon/nitrogen (0.999/0.001) filamentary pulsed discharge operated at atmospheric pressure in a quartz tube is characterized using voltage-current measurements, microphotography, optical emission spectroscopy (OES) and absorption spectroscopy. Nitrogen is applied as a sensor gas for the purpose of OES diagnostic. The density of argon metastable atoms Ar(3P 2) is determined using tunable diode laser absorption spectroscopy (TDLAS). Using a plasma chemical model the measured OES data are applied for the characterization of the plasma conditions. Between intense positive pulses the discharge current oscillates with a damped amplitude. It is established that an electric current flows in this discharge not only through a thin plasma filament that is observed in the discharge image but also through the whole cross section of the quartz tube. A diffuse plasma fills the quartz tube during a time between intense current pulses. Ionization waves are propagating in this plasma between the spike and the grounded area of the tube producing thin plasma channels. The diameter of these channels increases during the pause between the propagation of ionization waves probably because of thermal expansion and diffusion. Inside the channels electron densities of ~2 x 10^13 cm-3, argon metastable densities ~10^14 cm-3 and a reduced electric field about 10 Td are determined. © 2013 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/46/46/464009
  • Utilization of low-pressure plasma to inactivate bacterial spores on stainless steel screws
    Stapelmann, K. and Fiebrandt, M. and Raguse, M. and Awakowicz, P. and Reitz, G. and Moeller, R.
    Astrobiology 13 (2013)
    A special focus area of planetary protection is the monitoring, control, and reduction of microbial contaminations that are detected on spacecraft components and hardware during and after assembly. In this study, wild-type spores of Bacillus pumilus SAFR-032 (a persistent spacecraft assembly facility isolate) and the laboratory model organism B. subtilis 168 were used to study the effects of low-pressure plasma, with hydrogen alone and in combination with oxygen and evaporated hydrogen peroxide as a process gas, on spore survival, which was determined by a colony formation assay. Spores of B. pumilus SAFR-032 and B. subtilis 168 were deposited with an aseptic technique onto the surface of stainless steel screws to simulate a spore-contaminated spacecraft hardware component, and were subsequently exposed to different plasmas and hydrogen peroxide conditions in a very high frequency capacitively coupled plasma reactor (VHF-CCP) to reduce the spore burden. Spores of the spacecraft isolate B. pumilus SAFR-032 were significantly more resistant to plasma treatment than spores of B. subtilis 168. The use of low-pressure plasma with an additional treatment of evaporated hydrogen peroxide also led to an enhanced spore inactivation that surpassed either single treatment when applied alone, which indicates the potential application of this method as a fast and suitable way to reduce spore-contaminated spacecraft hardware components for planetary protection purposes. © 2013 Mary Ann Liebert, Inc.
    view abstract10.1089/ast.2012.0949
  • A novel radio-frequency plasma probe for monitoring systems in dielectric deposition processes
    Schulz, C. and Styrnoll, T. and Lapke, M. and Oberrath, J. and Storch, R. and Awakowicz, P. and Brinkmann, R.P. and Musch, T. and Mussenbrock, T. and Rolfes, I.
    Proceedings of the 2012 International Conference on Electromagnetics in Advanced Applications, ICEAA'12 (2012)
    This paper presents a novel industry compatible plasma probe for monitoring systems in dielectric deposition processes. The probe is based on the so called active plasma resonance spectroscopy and allows an extensive evaluation of different important plasma parameters, needed for the supervision and control of the plasma deposition process. Due to its assembly, the probe is insensitive against additional dielectric coating. Hence, the measurement performance is not affected. 3D-electromagnetic field simulations of the probe in a pseudo plasma deposition process, as well as the measurement with a prototype in a real deposition process show a good agreement with the expected behaviour and confirm the applicability of the probe as a monitoring tool for dielectric deposition processes. © 2012 IEEE.
    view abstract10.1109/ICEAA.2012.6328725
  • Biological decontamination using pulsed filamentary microplasma jet
    Pothiraja, R. and Lackmann, J.-W. and Keil, G. and Bibinov, N. and Awakowicz, P.
    NATO Science for Peace and Security Series A: Chemistry and Biology (2012)
    Microplasma jet for the generation of pulsed filamentary discharge at atmospheric pressure has been devised for biological decontamination as well as for modification of surface properties. Long plasma-filament is generated inside a quartz tube and characterized using optical emission spectroscopy, current voltage measurements, numerical simulations and microphotography. Efficiency of our plasma source for the decontamination on inner surface of the tube as well as on objects placed in proximity of plasma effluent is studied. Escherichia coli (Gram-negative bacteria) and spores of Bacillus atrophaeus (Gram-positive bacteria) are used for the decontamination studies. Decontamination of Bacillus atrophaeus endospores, which are layered on PET polymer material, and placed in the proximity of plasma effluent, shows the mean logarithmic bacterial reduction of 3.67 for the treatment time of 120 s. Inactivation of Escherichia coli coated on inner surface of the tube shows the mean logarithmic bacterial reduction of about 5 for the treatment time of 30 s. In addition to this, inhibition studies of bacteria coated on agar plate are also carried out. It shows plasma effluent generated in our plasma source is very effective for the inhibition of bacterial colonization. © 2012 Springer Science+Business Media B.V.
    view abstract10.1007/978-94-007-2852-3_4
  • Characterization of transient discharges under atmospheric-pressure conditions applying nitrogen photoemission and current measurements
    Keller, S. and Rajasekaran, P. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 45 (2012)
    The plasma parameters such as electron distribution function and electron density of three atmospheric-pressure transient discharges namely filamentary and homogeneous dielectric barrier discharges in air, and the spark discharge of an argon plasma coagulation (APC) system are determined. A combination of numerical simulation as well as diagnostic methods including current measurement and optical emission spectroscopy (OES) based on nitrogen emissions is used. The applied methods supplement each other and resolve problems, which arise when these methods are used individually. Nitrogen is used as a sensor gas and is admixed in low amount to argon for characterizing the APC discharge. Both direct and stepwise electron-impact excitation of nitrogen emissions are included in the plasma-chemical model applied for characterization of these transient discharges using OES where ambiguity arises in the determination of plasma parameters under specific discharge conditions. It is shown that the measured current solves this problem by providing additional information useful for the determination of discharge-specific plasma parameters. © 2012 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/45/12/125202
  • Dual frequency capacitive plasmas in Fe and Ni sputter applications: Correlation of discharge properties on thin film properties
    Bienholz, S. and Semmler, E. and Awakowicz, P. and Brunken, H. and Ludwig, Al.
    Plasma Sources Science and Technology 21 (2012)
    Dual frequency capacitively coupled plasmas (CCPs) are widely used in (large area) etching and plasma enhanced chemical vapor deposition processes. However, applications in physical vapor deposition (PVD) are still sparse due to the well-established dc magnetron cathode discharges. Nevertheless, there exist critical applications such as ferromagnetic or ceramic thin film deposition which are difficult to handle even for dc magnetron systems. For these materials systems dual frequency CCPs pose a good alternative, because for insulators charging can be avoided and for ferromagnetic materials the target thickness becomes independent of the magnetron configuration at comparable deposition rates. In this work we investigate two separate subjects. First, in dual frequency capacitive discharges a complex coupling of the applied excitation frequencies can be observed, which from a plasma parameter point of view limits the separability of ion flux (usually controlled by frequencies >60MHz) and ion bombarding energy (usually controlled by frequency <15MHz) onto the sputter target. By performing deposition experiments it was found that by following simple tuning guidelines a very good degree of separability is achievable. Additionally, the deposition homogeneity is not affected. Second, we correlate the growth conditions with crystalline and magnetic properties as well as the degree of O content for Fe and Ni films. Therefore, we applied different signals as a substrate bias to influence thin film growth. It was found that the crystalline and magnetic properties can be influenced for both Fe and Ni films but is more pronounced for Ni. © 2012 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/21/1/015010
  • Film deposition on the inner surface of tubes using atmospheric-pressure Ar-CH 4, Ar-C 2H 2 and Ar-C 2H 2-H 2 plasmas: Interpretation of film properties from plasma-chemical kinetics
    Pothiraja, R. and Engelhardt, M. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 45 (2012)
    A hard hydrocarbon film is deposited on the inner surface of glass tubes using a filamentary discharge at atmospheric pressure in Ar-C 2H 2-H 2 and Ar-CH 4 mixtures. Under similar conditions, a soft film is deposited with a high deposition rate in an Ar-C 2H 2 mixture. These differences in film hardness and deposition rate are interpreted on the basis of carbon and hydrogen elemental composition in the plasma. The deposition rate is varied along the axis of the tubes in the Ar-C 2H 2-H 2 plasma. This can be controlled by controlling the substrate (tube) temperature. Chemical erosion of the deposited film by hydrogen atoms is the probable reason for this effect. The plasma conditions (gas temperature, electron distribution function and electron density) are characterized by applying optical emission spectroscopy (OES), microphotography and numerical simulation for all three gas mixtures. The density of hydrogen atoms in the inter-electrode region of the tube is determined by applying OES in all gas mixtures. The rates of precursor molecule excitation and follow-up plasma-chemical reactions are calculated on the basis of the determined plasma parameters. Correlations between plasma conditions and film properties are discussed. © 2012 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/45/33/335202
  • Optical emission spectroscopy as a tool for characterization of technical plasmas in medical applications
    Awakowicz, P. and Baldus, S. and Stapelmann, K. and Engelhardt, M. and Bibinov, N. and Denis, B.
    Plasma Medicine 2 (2012)
    Understanding the interactions of technical plasma discharges with biological systems is a key aspect to developing and optimizing plasma devices for use in medical practice. In this article, the characterization of 3 different plasma devices with absolutely and relatively calibrated optical emission spectroscopy is presented. Two low-pressure setups are described: a double inductively coupled plasma that serves as laboratory setup for basic research of sterilization of spores and germs and a very high frequency capacitively coupled plasma designed to meet commercial needs. An atmospheric pressure dielectric barrier discharge is designed for wound and skin treatment. Sterilization tests for each setup demonstrate the capability to inactivate bacteria and bacterial spores efficiently. In case of the double inductively coupled plasma, wavelength-dependent photo sterilization efficiency is investigated. As a result, Aspergillus brasiliensis spores are efficiently inactivated by irradiation below 235 nm, whereas Bacillus atrophaeus spores are sensitive to irradiation between 235 and 300 nm. The very high frequency capacitively coupled plasma demonstrates a reduction greater than log 6 of B. Atrophaeus endospores in a process challenge device, a metal box with 3 small slits (3 mm). With direct DBD treatment, a full inactivation of Escherichia coli is achieved within 10 seconds of treatment time. From measurements, data can be extracted only at certain positions. Simulations deliver spatially resolved data from whole-discharge volume. © 2012 by Begell House, Inc.
    view abstract10.1615/PlasmaMed.2013006849
  • Plasma sterilization of pharmaceutical products: From basics to production
    Denis, B. and Steves, S. and Semmler, E. and Bibinov, N. and Novak, W. and Awakowicz, P.
    Plasma Processes and Polymers 9 (2012)
    For the first time, a commercial low pressure plasma sterilization system integrated in a pharmaceutical filling line is presented. The route from a laboratory plasma reactor to an industry scale plasma sterilization reactor is shown. Absolutely calibrated measurements (e.g. OES and Langmuir probe) yield to a knowledge transfer from an experimental set-up to an industrial reactor. Spore count reduction of 4 log in 10 s of Geobacillus stearothermophilus and Bacillus subtilis spores prove the applicability of an industrial grade plasma sterilization reactor for transfer isolators typically used in pharmaceutical filling and packaging lines. The pharmaceutical regulatory agencies request a decontamination step before any material enters a closed aseptic production environment. The first commercial plasma sterilization system integrated in a pharmaceutical filling line is presented. It is approved by the agencies. The design of an industry scale plasma sterilization reactor is illustrated based on a laboratory plasma reactor. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201100211
  • Quantitative characterization of a dielectric barrier discharge in air applying non-calibrated spectrometer, current measurement and numerical simulation
    Rajasekaran, P. and Bibinov, N. and Awakowicz, P.
    Measurement Science and Technology 23 (2012)
    A non-calibrated spectrometer is used for quantitative characterization of a dielectric barrier discharge (DBD) in air wherein optical emission spectroscopy (OES) is completed by current measurement and numerical simulation. This diagnostic method is applicable when the cross-sectional area of the active plasma volume and the current density can be determined. The nitrogen emission in the spectral range of 330-406nm is used for OES diagnostics. The electric field in the active plasma volume is determined by applying the measured spectrum, well-known Franck-Condon factors for nitrogen transitions and numerically simulated electron distribution functions. The measured electric current density is used for the determination of electron density in plasma. Using the determined plasma parameters, the dissociation rates of nitrogen and oxygen in active plasma volume are calculated, which can be used for the simulation of chemical kinetics. © 2012 IOP Publishing Ltd.
    view abstract10.1088/0957-0233/23/8/085605
  • Amorphous carbon film deposition on the inner surface of tubes using atmospheric pressure pulsed filamentary plasma source
    Pothiraja, R. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 44 (2011)
    Uniform amorphous carbon film is deposited on the inner surface of quartz tubes having an inner diameter of 6 mm and an outer diameter of 8 mm. A pulsed filamentary plasma source is used for the deposition. Long plasma filaments (~140 mm) are generated inside the tube in argon with methane admixture. FTIR-ATR, XRD, scanning electron microscope, laser scanning microscope and XPS analyses give the conclusion that deposited film is amorphous composed of non-hydrogenated sp2 carbon and hydrogenated sp3 carbon. Plasma is characterized using optical emission spectroscopy, voltage-current measurement, microphotography and numerical simulation. On the basis of observed plasma parameters, the kinetics of the film deposition process is discussed. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/35/355206
  • Biological effects of nitric oxide generated by an atmospheric pressure gas-plasma on human skin cells
    Liebmann, J. and Scherer, J. and Bibinov, N. and Rajasekaran, P. and Kovacs, R. and Gesche, R. and Awakowicz, P. and Kolb-Bachofen, V.
    Nitric Oxide - Biology and Chemistry 24 (2011)
    Physical plasmas which contain a mixture of different radicals, charged species and UV-radiation, have recently found entry in various medical applications. Though first clinical trials are underway nothing is known about the plasma components mediating the biological effects seen and safety concerns have been neglected. We here use for the first time a plasma device equipped with a bent quartz capillary to omit UV-radiation by directing the gas flux only, containing high concentrations of NO, onto cultured human skin cells. This enables us to compare the effects of plasma produced radical species alone - mainly NO - and in combination with the also emitted UV-radiation on cells. Evaluation of cell death after different treatment times with the capillary present shows no sign of apoptosis in primary human keratinocytes even after 15 min plasma exposure. In human skin endothelial cells however, toxicity is elevated after treatment for more than 10 min. In contrast, without the capillary treatment of both cell types results in maximal cell death after 10 min. Measuring nitrite and nitrosothiols reveals that plasma-treatment leads to an increase of these NO-products in buffer solution and cell culture medium. Using an intracellular fluorescent NO-probe and analysing the nitrosation status of plasma exposed skin cells we can prove that NO indeed reaches and penetrates into these cells. Non-toxic exposure times modulate proliferation in both cell types used, indicating that the gas species, mainly NO, are biological active. © 2010 Elsevier Inc. All rights reserved.
    view abstract10.1016/j.niox.2010.09.005
  • Characterization of dielectric barrier discharge (DBD) on mouse and histological evaluation of the plasma-treated tissue
    Rajasekaran, P. and Opländer, C. and Hoffmeister, D. and Bibinov, N. and Suschek, C.V. and Wandke, D. and Awakowicz, P.
    Plasma Processes and Polymers 8 (2011)
    Atmospheric-pressure dielectric barrier discharge (DBD) in air is investigated for medical applications, especially for skin treatment. When the DBD was tested on mouse skin, a homogeneous discharge accompanied by filamentary microdischarges is observed. For characterization of the homogeneous discharge, averaged plasma parameters (namely electron density and electron velocity distribution function) and gas temperature are determined by optical emission spectroscopy, microphotography and numerical simulation. Chemical kinetics in the active plasma volume and in the afterglow is simulated. Fluxes of biologically useful molecules like nitric oxide (NO) and ozone reaching the treated surface and irradiation by UV photons are determined. Skin biopsy results show that DBD treatment causes no inflammation and no changes in the skin-collagen. © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.201000122
  • Fine-sorting one-dimensional particle-in-cell algorithm with Monte-Carlo collisions on a graphics processing unit
    Mertmann, P. and Eremin, D. and Mussenbrock, T. and Brinkmann, R.P. and Awakowicz, P.
    Computer Physics Communications 182 (2011)
    Particle-in-cell (PIC) simulations with Monte-Carlo collisions are used in plasma science to explore a variety of kinetic effects. One major problem is the long run-time of such simulations. Even on modern computer systems, PIC codes take a considerable amount of time for convergence. Most of the computations can be massively parallelized, since particles behave independently of each other within one time step. Current graphics processing units (GPUs) offer an attractive means for execution of the parallelized code. In this contribution we show a one-dimensional PIC code running on NVIDIA^TM GPUs using the CUDA^TM environment. A distinctive feature of the code is that size of the cells that the code uses to sort the particles with respect to their coordinates is comparable to size of the grid cells used for discretization of the electric field. Hence, we call the corresponding algorithm "fine-sorting". Implementation details and optimization of the code are discussed and the speed-up compared to classical CPU approaches is computed. © 2011 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.cpc.2011.05.012
  • Investigating the dependence of the temperature of high-intensity discharge (HID) lamp electrodes on the operating frequency by pyrometric measurements
    Reinelt, J. and Westermeier, M. and Ruhrmann, C. and Bergner, A. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 44 (2011)
    Phase-resolved temperature distributions are determined along a rod-shaped tungsten electrode, by which an ac arc is operated within a model lamp filled with argon. Switched dc and sinusoidal currents are applied with amplitudes of several amperes and operating frequencies being varied between 10 Hz and 10 kHz. The temperature is deduced from the grey body radiation of the electrode being recorded with a spectroscopic measuring system. Phase-resolved values of the electrode tip temperature Ttip and of the power input Pin are determined comparing the measured temperature distributions with the integral of the one-dimensional heat balance with these parameters as integration constants. They are supplemented by phase-resolved measurements of the sum of cathode and anode fall called the electrode sheath voltage. If a switched dc current is applied it is found that both quantities are within the cathodic phase only marginally higher than for a cathode being operated with a dc current. Ttip and Pin start to decrease for low currents and to increase for high currents at the beginning of the anodic phase. But with increasing operating frequency the deviations from the cathodic phase are reduced until they cannot be resolved for frequencies of several kHz. A more pronounced modulation, but the same tendencies, is observed with a sinusoidal current waveform. For 10 kHz a diffuse arc attachment with an almost phase-independent electrode tip temperature, which deviates only marginally from that of a dc cathode, and an electrode sheath voltage proportional to the arc current is established with both current waveforms. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/9/095204
  • Investigating the gas phase emitter effect of caesium and cerium in ceramic metal halide lamps in dependence on the operating frequency
    Ruhrmann, C. and Westermeier, M. and Bergner, A. and Luijks, G.M.J.F. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 44 (2011)
    The work function and with it the temperature of tungsten electrodes in HID lamps can be lowered and the lifetime of lamps increased by the gas phase emitter effect. A determination of the emitter effect of Cs and Ce is performed by phase resolved measurements of the electrode tip temperature T tip(φ), plasma temperature Tpl(φ) and particle densities N(φ) by means of pyrometric, optical emission and broadband absorption spectroscopy in dependence on the operating frequency. The investigated HID lamps are ceramic metal halide lamps with transparent discharge vessels made of YAG, filled with a buffer gas consisting of Ar, Kr and predominantly Hg and seeded with CsI or CeI3. In the YAG lamp seeded with CsI and CeI3 as well as in a YAG lamp seeded with DyI 3 (corresponding results can be found in a preceding paper) a gas phase emitter effect is observed in the cathodic phase due to a Cs, Ce or Dy ion current. In the YAG lamp seeded with CsI the phase averaged coverage of the electrode surface with emitter atoms decreases and the electrode temperature rises with increasing frequency, whereas the emitter effect of Ce and Dy is extended to the anodic phase, which leads to a decreased average temperature Ttip(φ) with increasing frequency. This different behaviour of the averaged values of Ttip(φ) for increasing frequency is caused by the differing adsorption energies Ea of the respective emitter materials. In spite of the influence of Ea on the coverage of the electrode with emitter atoms, the cathodic gas phase emitter effect produces in the YAG lamps seeded with CsI, CeI3 and DyI3 a general reduction in the electrode tip temperature Ttip(φ) in comparison with a YAG lamp with Hg filling only. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/35/355202
  • Investigating the influence of the operating frequency on the gas phase emitter effect of dysprosium in ceramic metal halide lamps
    Reinelt, J. and Westermeier, M. and Ruhrmann, C. and Bergner, A. and Luijks, G.M.J.F. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 44 (2011)
    The dependence of the gas phase emitter effect of Dy on a variation of the operating frequency between a few Hz and 2 kHz is investigated in a high intensity discharge lamp. The buffer gas of the lamp consisting of Ar, Kr and predominantly Hg is seeded with DyI3, its burner vessel is formed from transparent yttrium-alumina-garnet material. Phase and spatial resolved emission spectroscopy in front of the lamp electrode and pyrometric temperature measurements along the tungsten electrode are performed with a spectroscopic setup. Dy atom and ion densities in front of the electrode are deduced from absolute intensities of optically thin Dy lines and a plasma temperature, derived from the absolute intensity of mercury lines. Phase resolved values of the electrode tip temperature Ttip and input power Pin are obtained from temperature distributions along the electrode. Distinctly higher Dy ion and atom densities are measured in front of the electrode within the cathodic phase. With increasing operating frequency a reduction in both atoms and ions is observed in front of the cathode. In contrast, an increase in the ion density in front of the anode is seen. Moreover, the Dy ion density is drastically reduced by an additional seeding of the lamp with TlI. It is found that an up rating of the Dy ion density is correlated with a decline of T tip and Pin. At higher frequencies this effect takes place not only within the cathodic phase but also within the anodic phase. The reduction of the average electrode tip temperature of the order of several hundred kelvin compared with a YAG lamp with a pure mercury filling is explained by a Dy monolayer on the electrode surface which is sustained by a Dy ion current. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/22/224006
  • Plasma and optical thin film technologies
    Stenzel, O. and Wilbrandt, S. and Kaiser, N. and Schmitz, C. and Turowski, M. and Ristau, D. and Awakowicz, P. and Brinkmann, R.P. and Musch, T. and Rolfes, I. and Steffen, H. and Foest, R. and Ohl, A. and Köhler, T. and Dolgonos, G. and Frauenheim, T.
    Proceedings of SPIE - The International Society for Optical Engineering 8168 (2011)
    The PluTO project is aimed at combining thin-film and plasma technologies. Accordingly, the consortium comprises experts in optical coating (Laser Zentrum Hannover, Fraunhofer IOF) and such in plasma technology (INP Greifswald, Ruhr University of Bochum RUB). The process plasmas available, especially the sheath layers, will be thoroughly characterized by means of special probes, so that the types, numbers and energies of the particles participating in the coating formation processes can be determined comprehensively in every detail for the first time. The data thus obtained will provide a basis for a numerical modelling of layer growth at atomic scale (Bremen Center for Computational Materials Science BCCMS). The results are expected to deepen the understanding of the physical mechanisms responsible for the influence of plasma action on the layer properties. In parallel, suitable tools for process monitoring will be identified and made available. Some first results have already been achieved which prove the viability of the approach. © 2011 SPIE.
    view abstract10.1117/12.895323
  • Space-resolved characterization of high frequency atmospheric-pressure plasma in nitrogen, applying optical emission spectroscopy and numerical simulation
    Rajasekaran, P. and Ruhrmann, C. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 44 (2011)
    Averaged plasma parameters such as electron distribution function and electron density are determined by characterization of high frequency (2.4GHz) nitrogen plasma using both experimental methods, namely optical emission spectroscopy (OES) and microphotography, and numerical simulation. Both direct and step-wise electron-impact excitation of nitrogen emissions are considered. The determination of space-resolved electron distribution function, electron density, rate constant for electron-impact dissociation of nitrogen molecule and the production of nitrogen atoms, applying the same methods, is discussed. Spatial distribution of intensities of neutral nitrogen molecule and nitrogen molecular ion from the microplasma is imaged by a CCD camera. The CCD images are calibrated using the corresponding emissions measured by absolutely calibrated OES, and are then subjected to inverse Abel transformation to determine space-resolved intensities and other parameters. The space-resolved parameters are compared, respectively, with the averaged parameters, and an agreement between them is established. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/48/485205
  • Spectroscopic characterization of an atmospheric pressure μ-jet plasma source
    Bibinov, N. and Knake, N. and Bahre, H. and Awakowicz, P. and Schulz-Von Der Gathen, V.
    Journal of Physics D: Applied Physics 44 (2011)
    A new method for determination of plasma parameters under atmospheric pressure conditions is formulated and applied for characterization of a radio-frequency μ-jet plasma source using He/O2 mixture. By applying absolutely calibrated optical emission spectroscopy and numerical simulation, the gas temperature in the active plasma region and plasma parameters (electron density and electron distribution function) are determined. The steady-state concentrations of different species such as oxygen atom and ozone in the plasma channel and in the effluent of the plasma source are calculated using measured plasma parameters and gas temperature. On the other hand, spatial distribution of steady-state densities of these species are measured using emission and absorption spectroscopy. A comparison of the results thus obtained and the validation of the new method against two-photon absorption laser-induced fluorescence spectroscopy measurements are discussed. In addition, the influence of the surface processes and gas flow regime on the loss of the active species in the plasma source are discussed. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/34/345204
  • Sterilization of heat-sensitive silicone implant material by low-pressure gas plasma
    Hauser, J. and Esenwein, S.-A. and Awakowicz, P. and Steinau, H.-U. and Köller, M. and Halfmann, H.
    Biomedical Instrumentation and Technology 45 (2011)
    Background: In recent years, plasma treatment of medical devices and implant materials has gained more and more acceptance. Inactivation of microorganisms by exposure to ultraviolet (UV) radiation produced by plasma discharges and sterilization of medical implants and instruments is one possible application of this technique. The aim of this study was to evaluate the effectiveness of this sterilization technique on silicone implant material. Methods: Bacillus atrophaeus spores (106 colony-forming units [CFUs]) were sprayed on the surfaces of 12 silicone implant material samples. Four plasma sets with different gas mixtures (argon [Ar], argon-oxygen [Ar:O 2], argon-hydrogen [Ar:H2] and argon-nitrogen [Ar:N 2]) were tested for their antimicrobial properties. Post-sterilization mechanical testing of the implant material was performed in order to evaluate possible plasma-induced structural damage. Results: The inductively coupled low-pressure plasma technique can achieve fast and efficient sterilization of silicone implant material without adverse materials effects. All four gas mixtures led to a significant spore reduction, and no structural damage to the implant material could be observed.
    view abstract10.2345/0899-8205-45.1.75
  • Surface modification by glow discharge gasplasma treatment improves vascularization of allogenic bone implants
    Ring, A. and Tilkorn, D.J. and Goertz, O. and Langer, S. and Schaffran, A. and Awakowicz, P. and Hauser, J.
    Journal of Orthopaedic Research 29 (2011)
    Sufficient induction of blood vessel ingrowth decisively influence transplant functionality. In this study, microvascular response to transplants of surface modified bone substitutes were assessed in vivo. The surface modification of allogenic bone substitutes (dehydrated human femoral head) was achieved in a double-conductive low-pressure gasplasma reactor (Ar 2/O2, 13.65 MHz, 1,000 W, 5 Pa). The modified bone substitutes (n = 10) as well as untreated bone substitutes serving as controls (n = 10) were placed into the dorsal skinfold chamber of female balb/c mice (n = 10). Dynamic assessment of microcirculatory parameters was performed using intravital fluorescence microscopy during an implantation period of 10 days. The angiogenic response was found markedly accelerated in gasplasma-treated bone. Compared to untreated implants, the gasplasma-activated bone substitutes showed significantly higher microvascular density on days 5 and 10. The quantification of the microvascular diameters, red blood cell velocity, and microvascular permeability displayed stable perfusion and vascular integrity of the newly developed blood vessels throughout the 10-day observation period. The surface activation via cold low-pressure glow discharge gasplasma supports the vascular integration of allogenic bone by earlier induction of the angiogenesis. © 2011 Orthopaedic Research Society Published by Wiley Periodicals, Inc.
    view abstract10.1002/jor.21358
  • Temperature measurements at thoriated tungsten electrodes in a model lamp and their interpretation by numerical simulation
    Bergner, A. and Westermeier, M. and Ruhrmann, C. and Awakowicz, P. and Mentel, J.
    Journal of Physics D: Applied Physics 44 (2011)
    An atmospheric pressure argon arc is operated with dc currents of different amplitudes in a model lamp between electrodes made of pure and thoriated tungsten. Temperature measurements are performed at these electrodes with a CCD camera being calibrated at =890nm in absolute units of surface radiance and an interference filter for this wavelength. Temperature distributions are deduced from the CCD camera records of the electrodes assuming that they are grey body radiators. The records show a diffuse mode of attachment at the cathode. Doping the electrode with ThO 2 causes a reduction in the cathode temperature by an amount of the order of 1000K. On the other hand the anode temperature is weakly increased by a doping with ThO 2. A reduction in the work function of the cathode from 4.55 to 3eV is found by a comparison with cathode temperatures obtained by a numerical simulation of the diffuse mode of arc attachment with a well established cathode boundary layer model. Moreover, it is noted that the reduction is independent of the amount of ThO 2 by which the electrode material is doped indicating that the work function of thoriated cathodes is the result of a self adjustment to the work function minimum at a thorium coverage of 0.5. The weak influence of ThO 2 on the anode temperature shows that the average work function of the anode does not depend on the thorium content of the electrode. The results are explained by a thorium ion current, by which evaporated thorium is repatriated to the cathode surface. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/44/50/505203
  • The multipole resonance probe: Characterization of a prototype
    Lapke, M. and Oberrath, J. and Schulz, C. and Storch, R. and Styrnoll, T. and Zietz, C. and Awakowicz, P. and Brinkmann, R.P. and Musch, T. and Mussenbrock, T. and Rolfes, I.
    Plasma Sources Science and Technology 20 (2011)
    The multipole resonance probe (MRP) was recently proposed as an economical and industry compatible plasma diagnostic device (Lapke et al 2008 Appl. Phys. Lett. 93 051502). This communication reports the experimental characterization of a first MRP prototype in an inductively coupled argon/nitrogen plasma at 10 Pa. The behavior of the device follows the predictions of both an analytical model and a numerical simulation. The obtained electron densities are in excellent agreement with the results of Langmuir probe measurements. © 2011 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/20/4/042001
  • Determination of argon resonance line emission in an ICP hitting a biological sample
    Mertmann, P. and Bibinov, N. and Halfmann, H. and Awakowicz, P.
    Plasma Sources Science and Technology 19 (2010)
    A Monte Carlo model for the calculation of argon resonance line photon trapping in a double inductively coupled plasma is presented. Different probabilities of photon behaviour are calculated and the flux of photons hitting a target placed in the middle of the chamber is determined by simulation. Different gas admixtures or gas impurities can absorb photons or quench excited argon atoms, which is considered in the simulation. Electron energy distribution function and electron density are measured with a Langmuir probe and optical emission spectroscopy (OES). Nitrogen impurities, due to opening of the chamber, are measured using OES. These measured values and other additional input values such as gas temperature are used for simulation. © 2010 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/19/1/015017
  • Enhanced neovascularization of dermis substitutes via low-pressure plasma-mediated surface activation
    Ring, A. and Langer, S. and Schaffran, A. and Stricker, I. and Awakowicz, P. and Steinau, H.-U. and Hauser, J.
    Burns 36 (2010)
    Purpose: The effect of cold low-pressure plasma treatment on neovascularization of a dermis substitute was evaluated in a mouse model. Material and methods: Collagen-elastin matrices (Matriderm®) were used as scaffolds. Low-pressure argon/hydrogene plasma-treated scaffolds were transplanted into the dorsal skinfold chambers of balb/c mice (group 1, n = 10). Untreated scaffolds served as controls (group 2, n = 10). Intravital fluorescence microscopy was performed within the border zone of the scaffolds on days 1, 5 and 10. Functional vessel density (FVD), vessel diameter, intervascular distance, microvascular permeability, and leukocyte-endothelium interaction were analyzed. Results: An increase of FVD associated with a reduction of the intervascular distance was observed. Statistical analysis revealed that the functional vessel density in the border zone of the scaffolds was significantly enhanced in the plasma-treated group compared to controls. For group 1, an increase of FVD from 282 ± 8 cm/cm2 on days 5 to 315 ± 8 cm/cm2 on day 10 was observed. Whereas values of 254 ± 7 cm/cm2 on day 5 and 275 ± 13 cm/cm2 on day 10 have resulted in group 2 (mean ± S.E.M., Student's t-test, p < 0.05). Conclusion: The surface treatment by cold low-pressure plasma intensifies the angiogenesis and accelerates the neovascularization of collagen-elastin matrix. © 2010 Elsevier Ltd and ISBI. All rights reserved.
    view abstract10.1016/j.burns.2010.03.002
  • Filamentary and homogeneous modes of dielectric barrier discharge (DBD) in air: Investigation through plasma characterization and simulation of surface irradiation
    Rajasekaran, P. and Mertmann, P. and Bibinov, N. and Wandke, D. and Viöl, W. and Awakowicz, P.
    Plasma Processes and Polymers 7 (2010)
    The reported dielectric barrier discharge (DBD) source comprises of a ceramic-covered copper electrode, and plasma can be ignited in ambient air with grounded Opposite' electrodes or with objects of high capacitance (e.g., human body), when breakdown conditions are satisfied. Filamentary plasma mode is observed when the same source is operated using grounded opposite electrodes like aluminium plate and phosphate buffered saline solution, and a homogeneous plasma mode when operated on glass. When the source is applied on human body, both homogeneous and filamentary discharges occur simultaneously which cannot be resolved into two separate discharges. Here, we report the characterization of filamentary and homogeneous modes of DBD plasma source using the above mentioned grounded electrodes, by applying optical emission spectroscopy, microphotography and numerical simulation. Averaged plasma parameters like electron velocity distribution function and electron density are determined. Fluxes of nitric oxide, ozone and photons reaching the treated surface are simulated. These fluxes obtained in different discharge modes namely, single-filamentary discharge (discharge ignited in same position), stochastical filamentary discharge and homogeneous discharge are compared to identify their applications in human skin treatment. It is concluded that the fluxes of photons and chemicallyactive particles in the single filamentary mode are the highest but the treated surface area is very small. For treating larger area, the homogeneous DBD is more effective than stochastical filamentary discharge. (Figure Presented) © 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/ppap.200900175
  • Inactivation of bacteria and biomolecules by low-pressure plasma discharges
    Von Keudell, A. and Awakowicz, P. and Benedikt, J. and Raballand, V. and Yanguas-Gil, A. and Opretzka, J. and Flötgen, C. and Reuter, R. and Byelykh, L. and Halfmann, H. and Stapelmann, K. and Denis, B. and Wunderlich, J. and Muranyi, P. and Rossi, F. and Kylián, O. and Hasiwa, N. and Ruiz, A. and Rauscher, H. and Sirghi, L. and Comoy, E. and Dehen, C. and Challier, L. and Deslys, J.P.
    Plasma Processes and Polymers 7 (2010)
    (Figure Presented) The inactivation of bacteria and biomolecules using plasma discharges were investigated within the European project BIODECON. The goal of the project was to identify and isolate inactivation mechanisms by combining dedicated beam experiments with especially designed plasma reactors. The plasma reactors are based on a fully computer-controlled, low-pressure inductively-coupled plasma (ICP). Four of these reactors were built and distributed among the consortium, thereby ensuring comparability of the results between the teams. Based on this combined effort, the role of UV light, of chemical sputtering (i.e. the combined impact of neutrals and ions), and of thermal effects on bacteria such as Bacillus atrophaeus, Aspergillus niger, as well as on biomolecules such as LPS, Lipid A, BSA and prions have been evaluated. The particle fluxes emerging from the plasmas are quantified by using mass spectrometry, Langmuir probe measurements, retarding field measurements and optical emission spectroscopy. The effects of the plasma on the biological systems are evaluated using atomic force microscopy, ellipsometry, electrophoresis, specially-designed western blot tests, and animal models. A quantitative analysis of the plasma discharges and the thorough study of their effect on biological systems led to the identification of the different mechanisms operating during the decontamination process. Our results confirm the role of UV in the 200-2 50 nm range for the inactivation of microorganisms and a large variability of results observed between different strains of the same species. Moreover, we also demonstrate the role of chemical sputtering corresponding to the synergism between ion bombardment of a surface with the simultaneous reaction of active species such as O, O2 or H. Finally, we show that plasma processes can be efficient against different micro-organisms, bacteria and fungi, pyrogens, model proteins and prions. The effect of matrices is described, and consequences for any future industrial implementation are discussed. © 2010 WILEY-VCH Verlag GmbH & Co. KGaA.
    view abstract10.1002/ppap.200900121
  • Measurement of Ba-densities close to the electrode in an HPS-lamp by broadband absorption spectroscopy with a UHP-lamp
    Westermeier, M. and Reinelt, J. and Luijks, G. and Mentel, J. and Awakowicz, P.
    Journal of Physics D: Applied Physics 43 (2010)
    Within this work, the emitter-effect of barium is investigated in a high-pressure-sodium (HPS) lamp. The emitter-effect reduces the thermal stress of the lamp electrode by a reduction in the effective work-function of the tungsten material. The investigated HPS-lamp has a special design of the Ba-emitter storage: it is deposited during lamp production in a tungsten coil around the electrode rod with a certain distance to the electrode tip. During lamp operation the Ba-emitter diffuses along the electrode surface to the electrode tip. To optimize the Ba-diffusion along the electrode, a measurement of the Ba-vapour density close to the electrode is executed by means of a broadband absorption spectroscopy (BBAS) at a Ba resonance line. An ultra-high-pressure (UHP) lamp is used as an absorption backlight source. It provides a high radiance at the measuring wavelength and operates very stably over time. An approximately linear decrease in the Ba-vapour density close to the electrode rod from 1.5 x 10^12 to 0.5 x 10^12 cm-3 is measured between the coil and the tip. The UHP-broadband absorption measurement at the Ba resonance line yields results which are independent of the plasma temperature. It can be applied to any arbitrary position within the HPS-lamp. Thus, the presented BBAS by means of a UHP-lamp is a very flexible but simple alternative to laser-absorption spectroscopy or to emission spectroscopy. © 2010 IOP Publishing Ltd.
    view abstract10.1088/0022-3727/43/12/124015
  • Non-thermal atmospheric pressure HF plasma source: Generation of nitric oxide and ozone for bio-medical applications
    Kühn, S. and Bibinov, N. and Gesche, R. and Awakowicz, P.
    Plasma Sources Science and Technology 19 (2010)
    A new miniature high-frequency (HF) plasma source intended for bio-medical applications is studied using nitrogen/oxygen mixture at atmospheric pressure. This plasma source can be used as an element of a plasma source array for applications in dermatology and surgery. Nitric oxide and ozone which are produced in this plasma source are well-known agents for proliferation of the cells, inhalation therapy for newborn infants, disinfection of wounds and blood ozonation. Using optical emission spectroscopy, microphotography and numerical simulation, the gas temperature in the active plasma region and plasma parameters (electron density and electron distribution function) are determined for varied nitrogen/oxygen flows. The influence of the gas flows on the plasma conditions is studied. Ozone and nitric oxide concentrations in the effluent of the plasma source are measured using absorption spectroscopy and electro-chemical NO-detector at variable gas flows. Correlations between plasma parameters and concentrations of the particles in the effluent of the plasma source are discussed. By varying the gas flows, the HF plasma source can be optimized for nitric oxide or ozone production. Maximum concentrations of 2750 ppm and 400 ppm of NO and O3, correspondingly, are generated. © 2010 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/19/1/015013
  • Plasma mediated collagen-I-coating of metal implant materials to improve biocompatibility
    Hauser, J. and Koeller, M. and Bensch, S. and Halfmann, H. and Awakowicz, P. and Steinau, H.-U. and Esenwein, S.
    Journal of Biomedical Materials Research - Part A 94 (2010)
    This study describes the collagen-I coating of titanium and steel implants via cold low-pressure gas plasma treatment. To analyze the coatings in terms of biocompatibility osteoblast-like osteosarcoma cells and human leukocytes were cultivated on the metal surfaces. Two different implant materials were assessed (Ti6Al4V, X2CrNiMo18) and four different surface properties were evaluated: (a) plasma pretreated and collagen-I coated implant materials; (b) collagen-I dip-coated without plasma pretreatment; (c) plasma treated but not collagen-I coated; (d) standard implant materials served as control. The different coating characteristics were analyzed by scanning electron microscopy (SEM). For adhesion and viability tests calcein-AM staining of the cells and Alamar blue assays were performed. The quantitative analysis was conducted by computer assisted microfluorophotography and spectrometer measurements. SEM analysis revealed that stable collagen-I coatings could not be achieved on the dip-coated steel and titanium alloys. Only due to pretreatment with low-pressure gas plasma a robust deposition of collagen I on the surface could be achieved. The cell viability and cell attachment rate on the plasma pretreated, collagen coated surfaces was significantly (p < 0.017) increased compared to the non coated surfaces. Gas plasma treatment is a feasible method for the deposition of proteins on metal implant materials resulting in an improved biocompatibility in vitro. © 2010 Wiley Periodicals, Inc.
    view abstract10.1002/jbm.a.32672
  • Pulsed corona plasma source characterization for film deposition on the inner surface of tubes
    Pothiraja, R. and Bibinov, N. and Awakowicz, P.
    Journal of Physics D: Applied Physics 43 (2010)
    A microplasma jet has been constructed for chemical vapour deposition on the inner surface of narrow long tubes and is tested on a quartz tube with the inner diameter of 6 mm and the outer diameter of 8 mm. A long plasma filament (&gt;100 mm) is generated inside the tube in argon with methane admixture. Depending on the quantity of admixture in argon, the negative as well as the positive corona discharges are ignited. Both modes of plasma are characterized using optical emission spectroscopy. For this purpose, nitrogen is admixed with argon and its emission is used for the determination of gas temperature, electron velocity distribution function and electron density at various places along the axis of the tube. The formation of active species, such as C, C 2 and CH during the dissociation of methane, is observed through their characteristic emission. The rate of methane dissociation is determined at various places along the axis of the tube during both modes of discharge. Since the chemical kinetics of the film growth process is different, different kinds of films are obtained during different modes of discharge. © 2010 IOP Publishing Ltd Pr.
    view abstract10.1088/0022-3727/43/49/495201
  • The influence of the relative phase between the driving voltages on electron heating in asymmetric dual frequency capacitive discharges
    Ziegler, D. and Trieschmann, J. and Mussenbrock, T. and Brinkmann, R.P. and Schulze, J. and Czarnetzki, U. and Semmler, E. and Awakowicz, P. and O'Connell, D. and Gans, T.
    Plasma Sources Science and Technology 19 (2010)
    The influence of the relative phase between the driving voltages on electron heating in asymmetric phase-locked dual frequency capacitively coupled radio frequency plasmas operated at 2 and 14 MHz is investigated. The basis of the analysis is a nonlinear global model with the option to implement a relative phase between the two driving voltages. In recent publications it has been reported that nonlinear electron resonance heating can drastically enhance the power dissipation to electrons at moments of sheath collapse due to the self-excitation of nonlinear plasma series resonance (PSR) oscillations of the radio frequency current. This work shows that depending on the relative phase of the driving voltages, the total number and exact moments of sheath collapse can be influenced. In the case of two consecutive sheath collapses a substantial increase in dissipated power compared with the known increase due to a single PSR excitation event per period is observed. Phase resolved optical emission spectroscopy (PROES) provides access to the excitation dynamics in front of the driven electrode. Via PROES the propagation of beam-like energetic electrons immediately after the sheath collapse is observed. In this work we demonstrate that there is a close relation between moments of sheath collapse, and thus excitation of the PSR, and beam-like electron propagation. A comparison of simulation results to experiments in a single and dual frequency discharge shows good agreement. In particular the observed influence of the relative phase on the dynamics of a dual frequency discharge is described by means of the presented model. Additionally, the analysis demonstrates that the observed gain in dissipation is not accompanied by an increase in the electrode's dc-bias voltage which directly addresses the issue of separate control of ion flux and ion energy in dual frequency capacitively coupled radio frequency plasmas. © 2010 IOP Publishing Ltd.
    view abstract10.1088/0963-0252/19/4/045001
  • The multipole resonance probe: Realization of an optimized radio-frequency plasma probe based on active plasma resonance spectroscopy
    Schulz, C. and Lapke, M. and Oberrath, J. and Storch, R. and Styrnoll, T. and Zietz, C. and Awakowicz, P. and Brinkmann, R.P. and Musch, T. and Mussenbrock, T. and Rolfes, I.
    MECAP'10, 1st Middle East Conference on Antennas and Propagation (2010)
    A diagnostic concept is presented which enables the simultaneous determination of plasma density, electron temperature, and collision rate in low-pressure gas discharges. The proposed method utilizes a radio-frequency driven probe of particular spherical design which is immersed in the plasma to excite a family of spatially bounded surface resonances. An analysis of the measured absorption spectrum S(ω) of the probe provides information on the distribution of the plasma in its vicinity, from which the values of the plasma parameters can be inferred. In its simplest realization, the probe consists of two dielectrically shielded, conducting hemispheres, which are symmetrically driven by a radiofrequency source, and the excited resonances can be classified as multipole fields, which allows an analytical evaluation of the measured signal. A comparison of the analytical results, 3D-field simulations, and first measurements of a prototype show the functionality of the presented probe concept. © 2010-IEEE APS.
    view abstract10.1109/MECAP.2010.5724175
  • Time resolved optical emission spectroscopy of an HPPMS coating process
    Theiss, S. and Bibinov, N. and Bagcivan, N. and Ewering, M. and Awakowicz, P. and Bobzin, K.
    Journal of Physics D-applied Physics 43 (2010)
    This paper deals with the time resolved optical emission spectroscopy of a high power pulse magnetron sputtering (HPPMS) physical vapour deposition coating process. With an industrial coating unit CC800/9 HPPMS (CemeCon AG, Wurselen) a (Cr,Al,Si)N coating was deposited. During the coating process, an absolute calibrated Echelle spectrometer (ESA-3000) measured the intensities of the spectral lines of chromium (Cr), aluminium (Al) and molecular bands of nitrogen (N-2). Time resolved measurements enable us to calculate different parameters such as the average velocity of sputtered Al and Cr atoms or the internal plasma parameters electron density n(e) and electron temperature kT(e) with a time resolution of 20 mu s. With these parameters, we determine the ionization rates of Al, Cr, Ar and Kr atoms and the deposition densities of Al and Cr atoms. Thus simulated deposition densities of 1.75 x 10(20) m(-2) s(-1) for chromium and 1.7 x 10(22) m(-2) s(-1) for aluminium are reached.
    view abstract10.1088/0022-3727/43/7/075205
  • coatings

  • plasma applications

  • plasma diagnostics

  • plasma enhanced chemical vapor deposition

  • plasma sources

  • plasmas

  • spectroscopy

  • sputtering

« back