Prof. Dr. Anjana Devi

Inorganic Chemistry
Ruhr-Universität Bochum

Contact
Author IDs

Hub
  • PECVD and PEALD on polymer substrates (part I): Fundamentals and analysis of plasma activation and thin film growth
    de los Arcos, Teresa and Awakowicz, Peter and Benedikt, Jan and Biskup, Beatrix and Böke, Marc and Boysen, Nils and Buschhaus, Rahel and Dahlmann, Rainer and Devi, Anjana and Gergs, Tobias and Jenderny, Jonathan and von Keudell, Achim and Kühne, Thomas D. and Kusmierz, Simon and Müller, Hendrik and Mussenbrock, Thomas and Trieschmann, Jan and Zanders, David and Zysk, Frederik and Grundmeier, Guido
    Plasma Processes and Polymers 21 (2024)
    This feature article presents recent results on the analysis of plasma/polymer interactions and the nucleation of ultra-thin plasma films on polymeric substrates. Because of their high importance for the understanding of such processes, in situ analytical approaches of the plasma volume as well as the plasma/substrate interfaces are introduced before the findings on plasma surface chemistry. The plasma activation of polymeric substrates is divided into the understanding of fundamental processes on model substrates and the relevance of polymer surface complexity. Concerning thin film nucleation and growth, both plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition processes as well as the combination of both processes are considered both for model substrates and technical polymers. Based on the comprehensive presentation of recent results, selective perspectives of this research field are discussed. © 2023 Wiley-VCH GmbH.
    view abstract10.1002/ppap.202300150
  • Advances in photo-assisted seawater splitting promoted by green iron oxide-carbon nitride photoelectrocatalysts
    Benedet, Mattia and Rizzi, Gian Andrea and Lebedev, Oleg I. and Roddatis, Vladimir and Sada, Cinzia and Wree, Jan-Lucas and Devi, Anjana and Maccato, Chiara and Gasparotto, Alberto and Barreca, Davide
    Journal of Materials Chemistry A 11 (2023)
    Solar-driven seawater electrolysis for hydrogen fuel production holds an outstanding potential towards the development of a carbon-neutral and sustainable energy infrastructure, but the development of green, efficient and stable photoelectrocatalysts selectively promoting oxygen evolution remains a formidable challenge. Motivated by this issue, in this work we propose a tailored combination of two economically viable materials, α-Fe2O3 and graphitic carbon nitride (gCN), to fabricate promising anodes - eventually decorated with cobalt phosphate (CoPi) particles - for alkaline seawater photosplitting. The target systems were fabricated via an original multi-step route, involving the plasma-enhanced chemical vapor deposition of iron(iii) oxide on conducting glasses, the introduction of gCN in very small amounts by a rapid and facile electrophoretic process, and final annealing in air. A comprehensive characterization revealed the successful fabrication of composites featuring a tailored surface defectivity, a controlled nano-organization, and a close Fe2O3/gCN interfacial contact. After decoration with CoPi, the best performances corresponded to a Tafel slope of ≈100 mV dec−1 and overpotential values enabling us to rule out the competitive hypochlorite formation. In addition, photocurrent densities at 1.23 V vs. RHE showed a nearly 7-fold increase upon Fe2O3 functionalization with both gCN and CoPi. These amenable results, directly dependent on the electronic interplay at Fe2O3/gCN heterojunctions and on CoPi beneficial effects, are accompanied by a remarkable long-term stability, and may open up attractive avenues for clean energy production using natural resources. © 2023 The Royal Society of Chemistry.
    view abstract10.1039/d3ta04363k
  • Assessing the Environmental Impact of Atomic Layer Deposition (ALD) Processes and Pathways to Lower It
    Weber, Matthieu and Boysen, Nils and Graniel, Octavio and Sekkat, Abderrahime and Dussarrat, Christian and Wiff, Paulo and Devi, Anjana and Muñoz-Rojas, David
    ACS Materials Au 3 (2023)
    Due to concerns on resources depletion, climate change, and overall pollution, the quest toward more sustainable processes is becoming crucial. Atomic layer deposition (ALD) is a versatile technology, allowing for the precise coating of challenging substrates with a nanometer control over thickness. Due to its unique ability to nanoengineer interfaces and surfaces, ALD is widely used in many applications. Although the ALD technique offers the potential to tackle environmental challenges, in particular, considerations regarding the sustainability of renewable energy devices urge for greater efficiency and lower carbon footprint. Indeed, the process itself has currently a consequential impact on the environment, which should ideally be reduced as the technique is implemented in a wider range of products and applications. This paper reviews the studies carried out on the assessment of the environmental impact of ALD and summarizes the main results reported in the literature. Next, the principles of green chemistry are discussed, considering the specificities of the ALD process. This work also suggests future pathways to reduce the ALD environmental impact; in particular, the optimization of the reactor and processing parameters, the use of high throughput processes such as spatial ALD (SALD), and the chemical design of greener precursors are proposed as efficient routes to improve ALD sustainability. © 2023 The Authors. Published by American Chemical Society.
    view abstract10.1021/acsmaterialsau.3c00002
  • Complementary spectroscopic and electrochemical analysis of the sealing of micropores in hexamethyldisilazane plasma polymer films by Al2O3 atomic layer deposition
    Xie, Xiaofan and Zanders, David and Preischel, Florian and de los Arcos, Teresa and Devi, Anjana and Grundmeier, Guido
    Surface and Interface Analysis 55 (2023)
    In the present study, the effects of oxygen plasma treatment and subsequent 2 nm thin Al2O3 film deposition by atomic layer deposition on about 30 nm thick hexamethyldisilazane polymer layers are investigated by using a combination of spectroscopic and electrochemical analysis. The investigations focus on the microporosity of the corresponding films and their structural changes. Upon oxygen plasma treatment, the surface near region of the films is converted into SiOx, and the microporosity is increased. Atomic layer deposition of Al2O3 on the plasma oxidized films leads to the decrease of pore sizes and an effective sealing. A correlation between the film microporosity and the change of hydroxyl groups of the films with the adsorption of water was established by ellipsometric porosimetry and in situ Fourier transform infrared (FTIR) spectroscopy. Moreover, electrochemical analysis provided complementary information on the electrolyte up-take in the differently conditioned thin films. © 2023 The Authors. Surface and Interface Analysis published by John Wiley & Sons Ltd.
    view abstract10.1002/sia.7256
  • CVD Grown Tungsten Oxide for Low Temperature Hydrogen Sensing: Tuning Surface Characteristics via Materials Processing for Sensing Applications
    Wilken, M. and Ciftyürek, E. and Cwik, S. and Mai, L. and Mallick, B. and Rogalla, D. and Schierbaum, K. and Devi, A.
    Small 19 (2023)
    view abstract10.1002/smll.202204636
  • Direct-Patterning ZnO Deposition by Atomic-Layer Additive Manufacturing Using a Safe and Economical Precursor
    Stefanovic, Sonja and Gheshlaghi, Negar and Zanders, David and Kundrata, Ivan and Zhao, Baolin and Barr, Maïssa K. S. and Halik, Marcus and Devi, Anjana and Bachmann, Julien
    Small 19 (2023)
    Area-selective atomic layer deposition (AS-ALD) is a bottom-up nanofabrication method delivering single atoms from a molecular precursor. AS-ALD enables self-aligned fabrication and outperforms lithography in terms of cost, resistance, and equipment prerequisites, but it requires pre-patterned substrates and is limited by insufficient selectivity and finite choice of substrates. These challenges are circumvented by direct patterning with atomic-layer additive manufacturing (ALAM) — a transfer of 3D-printing principles to atomic-layer manufacturing where a precursor supply nozzle enables direct patterning instead of blanket coating. The reduced precursor vapor consumption in ALAM as compared with ALD calls for the use of less volatile precursors by replacing diethylzinc used traditionally in ALD with bis(dimethylaminopropyl)zinc, Zn(DMP)2. The behavior of this novel ZnO ALAM process follows that of the corresponding ALD in terms of deposit quality and growth characteristics. The temperature window for self-limiting growth of stoichiometric, crystalline material is 200–250 °C. The growth rates are 0.9 Å per cycle in ALD (determined by spectroscopic ellipsometry) and 1.1 Å per pass in ALAM (imaging ellipsometry). The preferential crystal orientation increases with temperature, while energy-dispersive X-ray spectroscopic and XPS show that only intermediate temperatures deliver stoichiometric ZnO. A functional thin-film transistor is created from an ALAM-deposited ZnO line and characterized. © 2023 The Authors. Small published by Wiley-VCH GmbH.
    view abstract10.1002/smll.202301774
  • Fe2O3-graphitic carbon nitride nanocomposites analyzed by XPS
    Benedet, Mattia and Barreca, Davide and Rizzi, Gian Andrea and Maccato, Chiara and Wree, Jan-Lucas and Devi, Anjana and Gasparotto, Alberto
    Surface Science Spectra 30 (2023)
    Nanocomposite systems based on iron(III) oxide (Fe2O3) and graphitic carbon nitride (gCN) possess a great potential as photo(electro)catalysts for environmental remediation and energy generation. In this field, a key issue is the fabrication of supported materials directly grown onto suitable substrates and possessing tailored features. In the present study, Fe2O3-gCN nanomaterials are prepared by an innovative two-step strategy, consisting of initial plasma assisted-chemical vapor deposition of iron(III) oxide on conducting glass substrates and subsequent functionalization with low amounts of gCN by a facile electrophoretic deposition process. Attention is dedicated to the use of two different forms of carbon nitride, obtained from melamine or melamine + cyanuric acid, in order to finely tune the resulting material composition. In this work, x-ray photoelectron spectroscopy was used to characterize the pristine Fe2O3 deposit as well as two Fe2O3-gCN composite materials prepared starting from different gCN powders. A detailed analysis of the obtained spectroscopic data reveals the occurrence of a direct electronic interplay between single constituents, dependent on material characteristics. The related results may act as useful guidelines for the design of photo(electro)catalysts endowed with specific properties, of importance for sustainable applications. © 2023 Author(s).
    view abstract10.1116/6.0002979
  • In Pursuit of Next Generation N-Heterocyclic Carbene-Stabilized Copper and Silver Precursors for Metalorganic Chemical Vapor Deposition and Atomic Layer Deposition Processes
    Selvakumar, Ilamparithy and Boysen, Nils and Bürger, Marco and Devi, Anjana
    Chemistry (Switzerland) 5 (2023)
    Volatile, reactive, and thermally stable organometallic copper and silver complexes are of significant interest as precursors for the metalorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD) of ultra-thin metallic films. Well-established CuI and AgI precursors are commonly stabilized by halogens, phosphorous, silicon, and oxygen, potentially leading to the incorporation of these elements as impurities in the thin films. These precursors are typically stabilized by a neutral and anionic ligand. Recent advancements were established by the stabilization of these complexes using N-heterocyclic carbenes (NHCs) as neutral ligands. To further enhance the reactivity, in this study the anionic ligand is sequentially changed from β-diketonates to β-ketoiminates and β-diketiminates, yielding two new CuI and two new AgI NHC-stabilized complexes in the general form of [M(NHC) (R)] (M = Cu, Ag; R = β-ketoiminate, β-diketiminate). The synthesized complexes were comparatively analyzed in solid, dissolved, and gaseous states. Furthermore, the thermal properties were investigated to assess their potential application in MOCVD or ALD. Among the newly synthesized complexes, the β-diketiminate-based [Cu(tBuNHC) (NacNacMe)] was identified to be the most suitable candidate as a precursor for Cu thin film deposition. The resulting halogen-, oxygen-, and silicon-free CuI and AgI precursors for MOCVD and ALD applications are established for the first time and set a new baseline for coinage metal precursors. © 2023 by the authors.
    view abstract10.3390/chemistry5030138
  • Interplay of Precursor and Plasma for The Deposition of HfO2 via PEALD: Film Growth and Dielectric Properties
    Preischel, Florian and Zanders, David and Berning, Thomas and Kostka, Aleksander and Rogalla, Detlef and Bock, Claudia and Devi, Anjana
    Advanced Materials Interfaces 10 (2023)
    HfO2 thin films are appealing for microelectronic applications such as high-κ dielectric layers, memristors, and ferroelectric memory devices. To fulfill the different requirements of each application, the properties of the deposited material need to be tuned accordingly. In this context, plasma-enhanced atomic layer deposition (PEALD) is a powerful processing route to tailor the properties of HfO2 thin films, especially at low temperatures. Herein, a comprehensive bottom-up approach is presented, ranging from the synthesis of molecularly engineered Hf precursors to the development of a HfO2 PEALD process and a detailed evaluation where plasma can be exploited to tune the dielectric properties. With the example of the newly synthesized bis-(dialkylamido)-bis-(formamidinato) Hf(IV) precursor, [Hf{η2-(iPrN)2CH}2(NMe2)2] which is reactive, thermally robust and volatile, successful implementation in a PEALD process for HfO2 at low temperatures is demonstrated. The typical atomic layer deposition (ALD) characteristics of precursor saturation, linearity, and ALD temperature window are demonstrated with constant growth of 0.7 Å per cycle from 125 to 200 °C, yielding high-purity layers. The effect of plasma pulse duration on the chemical composition alongside structural, topographical, as well as dielectric properties of the films is investigated. For the latter, the films are incorporated in metal-insulator semiconductor (MIS) structures. © 2023 The Authors. Advanced Materials Interfaces published by Wiley-VCH GmbH.
    view abstract10.1002/admi.202300244
  • Liquid injection field desorption/ionization as a powerful tool to characterize volatile, labile, and reactive metal–organic complexes
    Boysen, N. and Devi, A.
    European Journal of Mass Spectrometry 29 (2023)
    view abstract10.1177/14690667221146687
  • PECVD and PEALD on polymer substrates (part II): Understanding and tuning of barrier and membrane properties of thin films
    de los Arcos, Teresa and Awakowicz, Peter and Böke, Marc and Boysen, Nils and Brinkmann, Ralf Peter and Dahlmann, Rainer and Devi, Anjana and Eremin, Denis and Franke, Jonas and Gergs, Tobias and Jenderny, Jonathan and Kemaneci, Efe and Kühne, Thomas D. and Kusmierz, Simon and Mussenbrock, Thomas and Rubner, Jens and Trieschmann, Jan and Wessling, Matthias and Xie, Xiaofan and Zanders, David and Zysk, Frederik and Grundmeier, Guido
    Plasma Processes and Polymers (2023)
    This feature article presents insights concerning the correlation of plasma-enhanced chemical vapor deposition and plasma-enhanced atomic layer deposition thin film structures with their barrier or membrane properties. While in principle similar precursor gases and processes can be applied, the adjustment of deposition parameters for different polymer substrates can lead to either an effective diffusion barrier or selective permeabilities. In both cases, the understanding of the film growth and the analysis of the pore size distribution and the pore surface chemistry is of utmost importance for the understanding of the related transport properties of small molecules. In this regard, the article presents both concepts of thin film engineering and analytical as well as theoretical approaches leading to a comprehensive description of the state of the art in this field. Perspectives of future relevant research in this area, exploiting the presented correlation of film structure and molecular transport properties, are presented. © 2023 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202300186
  • Silver Thin-Film Electrodes Grown by Low-Temperature Plasma-Enhanced Spatial Atomic Layer Deposition at Atmospheric Pressure
    Hasselmann, Tim and Misimi, Bujamin and Boysen, Nils and Zanders, David and Wree, Jan-Lucas and Rogalla, Detlef and Haeger, Tobias and Zimmermann, Florian and Brinkmann, Kai Oliver and Schädler, Sebastian and Theirich, Detlef and Heiderhoff, Ralf and Devi, Anjana and Riedl, Thomas
    Advanced Materials Technologies 8 (2023)
    The unique properties of atomic layer deposition (ALD) are mainly exploited for metal oxides, while the growth of metals, such as silver, is still in its infancy. Low growth temperatures and high growth rates are essential to achieve conductive (i.e. percolated) films. Here, a study based on the authors’ recently introduced N-heterocyclic carbene-based Ag amide precursor [(NHC)Ag(hmds)] (1,3-di-tert-butyl-imidazolin-2-ylidene silver(I) 1,1,1-trimethyl-N-(trimethylsilyl) silanaminide) using plasma-enhanced spatial ALD at atmospheric pressure and at deposition temperatures as low as 60 °C, is provided. The favorable reactivity and high volatility of the [(NHC)Ag(hmds)] precursor affords high growth rates up to 3.4 × 1014 Ag atoms cm–2 per cycle, which are ≈2.5 times higher than that found with the established triethylphosphine(6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate) silver(I) [Ag(fod)(PEt3)] precursor. Consequently, highly conductive Ag films with resistivities as low as 2.7 µΩ cm are achieved at a deposition temperature of 100 °C with a percolation threshold of ≈2.6 × 1017 Ag atoms cm–2, which is more than 1.6 times lower compared to [Ag(fod)(PEt3)]. As a concept study, conductive Ag layers are used as bottom electrodes in organic solar cells, that achieve the same performance as those based on Ag electrodes resulting from a high vacuum process. © 2022 The Authors. Advanced Materials Technologies published by Wiley-VCH GmbH.
    view abstract10.1002/admt.202200796
  • Size and Shape Exclusion in 2D Silicon Dioxide Membranes
    Dementyev, P. and Khayya, N. and Zanders, D. and Ennen, I. and Devi, A. and Altman, E.I.
    Small 19 (2023)
    view abstract10.1002/smll.202205602
  • The impact of plasma enhancement on the deposition of carbon-containing zirconia films by metalorganic chemical vapor deposition
    Maaß, Philipp A. and Bedarev, Vitali and Chauvet, Laura and Prenzel, Marina and Glauber, Jean-Pierre and Devi, Anjana and Böke, Marc and von Keudell, Achim
    Plasma Processes and Polymers 20 (2023)
    Zirconia layers are often used as thermal barriers. In recent years, depositions by chemical vapor deposition methods using a metalorganic precursor (MOCVD) have been primarily investigated. Here, we combine MOCVD with plasma activation - plasma-enhanced chemical vapor deposition (PECVD]) - of the gas phase and/or the growth surface to lower the growth temperature and to allow for a flexible coating design. PECVD causes the precursor to be transformed into a chemically active species, yielding thin films with a five times higher sticking coefficient compared to MOCVD. This leads to the onset of crystallization at lower surface temperatures. Carbon is incorporated at oxygen sites, so that the crystalline structure of zirconia is preserved, but the electrical conductivity is affected. The thermal conductivity is like that of pure zirconia. © 2023 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202300050
  • Ultrafast Surface-Specific Spectroscopy of Water at a Photoexcited TiO2 Model Water-Splitting Photocatalyst
    Backus, Ellen H. G. and Hosseinpour, Saman and Ramanan, Charusheela and Sun, Shumei and Schlegel, Simon J. and Zelenka, Moritz and Jia, Xiaoyu and Gebhard, Maximilian and Devi, Anjana and Wang, Hai I. and Bonn, Mischa
    Angewandte Chemie - International Edition (2023)
    A critical step in photocatalytic water dissociation is the hole-mediated oxidation reaction. Molecular-level insights into the mechanism of this complex reaction under realistic conditions with high temporal resolution are highly desirable. Here, we use femtosecond time-resolved, surface-specific vibrational sum frequency generation spectroscopy to study the photo-induced reaction directly at the interface of the photocatalyst TiO2 in contact with liquid water at room temperature. Thanks to the inherent surface specificity of the spectroscopic method, we can follow the reaction of solely the interfacial water molecules directly at the interface at timescales on which the reaction takes place. Following the generation of holes at the surface immediately after photoexcitation of the catalyst with UV light, water dissociation occurs on a sub-20 ps timescale. The reaction mechanism is similar at pH 3 and 11. In both cases, we observe the conversion of H2O into Ti−OH groups and the deprotonation of pre-existing Ti−OH groups. This study provides unique experimental insights into the early steps of the photo-induced dissociation processes at the photocatalyst-water interface, relevant to the design of improved photocatalysts. © 2023 The Authors. Angewandte Chemie International Edition published by Wiley-VCH GmbH.
    view abstract10.1002/anie.202312123
  • Ultrashort-Pulsed-Laser Annealing of Amorphous Atomic-Layer-Deposited MoS2 Films
    Becher, Malte Jonas Marius Julian and Jagosz, Julia and Neubieser, Rahel-Manuela and Wree, Jan-Lucas and Devi, Anjana and Michel, Marvin and Bock, Claudia and Gurevich, Evgeny L. and Ostendorf, Andreas
    Advanced Engineering Materials 25 (2023)
    To implement 2D molybdenum disulfide (MoS2) in the flexible electronic industry, large, uniform, and crystalline films on flexible substrates are needed. Thermal atomic layer deposition (ALD) generates large-area uniform MoS2 films at low temperatures directly on temperature-sensitive substrates. But if the grown films are amorphous, a high-temperature posttreatment of the whole sample, which may cause thermal degradation of the substrate or other layers, needs to be avoided. In this article, the crystallization of amorphous MoS2 layers deposited by thermal ALD processed with picosecond laser pulses (λ = 532 nm), in a “cold” annealing process, is reported. The laser fluence range varies from (Formula presented.) = 8.73 mJ cm−2 to (Formula presented.) = 18.25 mJ cm−2 with scanning speeds from (Formula presented.) = 240 mm s−1 to (Formula presented.) = 2640 mm s−1. The crystallization and the influence of the processing parameters on the film morphology are analyzed in detail by Raman spectroscopy and scanning electron microscopy. Furthermore, a transition of amorphous MoS2 by laser annealing to self-organized patterns is demonstrated and a possible process mechanism for the ultrashort-pulsed (usp)-laser annealing is discussed. Finally, the usp laser annealed films are compared to thermally and continuous-wave-laser-annealed samples. © 2023 The Authors. Advanced Engineering Materials published by Wiley-VCH GmbH.
    view abstract10.1002/adem.202300677
  • An Unusual Tri-coordinate Co(II) Silylamide with Potential for Chemical Vapor Deposition Processes
    Zanders, D. and Masuda, J.D. and Lowe, B. and Curtis, S. and Devi, A. and Barry, S.T.
    Zeitschrift fur Anorganische und Allgemeine Chemie (2022)
    view abstract10.1002/zaac.202200249
  • Atomic/molecular layer deposition of cerium(iii) hybrid thin films using rigid organic precursors
    Kaur, P. and Muriqi, A. and Wree, J.-L. and Ghiyasi, R. and Safdar, M. and Nolan, M. and Karppinen, M. and Devi, A.
    Dalton Transactions 51 (2022)
    view abstract10.1039/d2dt00353h
  • Ferromagnetic Cobalt Disulfide: A CVD Pathway Toward High-Quality and Phase-Pure Thin Films
    Wree, J.-L. and Glauber, J.-P. and Zanders, D. and Rogalla, D. and Becher, M. and Griffiths, M.B.E. and Ostendorf, A. and Barry, S.T. and Ney, A. and Devi, A.
    ACS Applied Electronic Materials 4 (2022)
    view abstract10.1021/acsaelm.2c00685
  • Ferromagnetic Europium Sulfide Thin Films: Influence of Precursors on Magneto-Optical Properties
    Beer, S.M.J. and Muriqi, A. and Lindner, P. and Winter, M. and Rogalla, D. and Nolan, M. and Ney, A. and Debus, J. and Devi, A.
    Chemistry of Materials 34 (2022)
    Europium sulfide (EuS) thin films are appealing as ferromagnetic semiconductors and luminescent and optomagnetic materials owing to their unique functional properties. With the emerging field of spintronics and magneto-optical devices, chemical vapor deposition (CVD) offers a versatile platform to tune the material properties and the method to fabricate device structures needed for such applications. Herein, we report the growth of high-quality cubic EuS via a versatile CVD process where the new Eu(III) precursors employed facilitate the formation of the target EuS layers under moderated process conditions. Based on the prior evaluation of the physicochemical properties of these precursors using thermal analysis and density functional theory studies, adequate volatility, thermal stability, and sufficient reactivity toward potential co-reactants, namely, elemental sulfur, could be inferred. Thus, the use of toxic hydrogen sulfide generally needed for sulfide film depositions could be avoided, which is a significant advantage in terms of simplifying the deposition process. The as-deposited thin films were analyzed in terms of the structure, composition, and morphology, revealing highly oriented polycrystalline and stoichiometric EuS films. UV/vis measurements yielded a band gap of around 1.6 eV, and Raman spectroscopy exhibited a coupling between the phonons and electron spin systems of EuS. These findings, together with the soft ferromagnetic character of the films derived from semiconducting quantum interference device measurements, signify the potential of CVD-grown EuS for future technological applications. © 2021 American Chemical Society.
    view abstract10.1021/acs.chemmater.1c02958
  • Heterostructured α-Fe2O3@ZnO@ZIF-8 Core–Shell Nanowires for a Highly Selective MEMS-Based ppb-Level H2S Gas Sensor System
    Zhu, L.-Y. and Miao, X.-Y. and Ou, L.-X. and Mao, L.-W. and Yuan, K. and Sun, S. and Devi, A. and Lu, H.-L.
    Small (2022)
    view abstract10.1002/smll.202204828
  • High-Performance Iridium Thin Films for Water Splitting by CVD Using New Ir(I) Precursors
    Boysen, N. and Wree, J.-L. and Zanders, D. and Rogalla, D. and Öhl, D. and Schuhmann, W. and Devi, A.
    ACS Applied Materials and Interfaces 14 (2022)
    view abstract10.1021/acsami.2c13865
  • Highly sensitive and stable MEMS acetone sensors based on well-designed α-Fe2O3/C mesoporous nanorods
    Zhu, L.-Y. and Yuan, K. and Li, Z.-C. and Miao, X.-Y. and Wang, J.-C. and Sun, S. and Devi, A. and Lu, H.-L.
    Journal of Colloid and Interface Science 622 (2022)
    view abstract10.1016/j.jcis.2022.04.081
  • Influence of different ester side groups in polymers on the vapor phase infiltration with trimethyl aluminum
    Mai, L. and Maniar, D. and Zysk, F. and Schöbel, J. and Kühne, T.D. and Loos, K. and Devi, A.
    Dalton Transactions 51 (2022)
    view abstract10.1039/d1dt03753f
  • Influence of surface activation on the microporosity of PE-CVD and PE-ALD SiOx thin films on PDMS
    Hoppe, C. and Mitschker, F. and Mai, L. and Liedke, M.O. and de los Arcos, T. and Awakowicz, P. and Devi, A. and Attallah, A.G. and Butterling, M. and Wagner, A. and Grundmeier, G.
    Plasma Processes and Polymers (2022)
    The microporosity, structure and permeability of SiOx thin films deposited by microwave plasma-enhanced chemical vapour deposition (PE-CVD) and plasma-enhanced atomic layer deposition (PE-ALD) on polydimethylsiloxane (PDMS) substrates were investigated by positron annihilation spectroscopy and complementary technique, such as X-ray photoelectron spectroscopy, infrared spectroscopy, time of flight mass spectroscopy and atomic force microscopy. The SiOx films were deposited onto spin-coated PDMS substrates, which were previously exposed to an oxygen plasma thus achieving the conversion of the top polymer layer into SiOx. The presence of this oxidised surface near the region led to an overall decrease in micropore density and to a shift towards smaller pore sizes within the deposited SiOx films. A correlation between the oxygen fluence during the oxygen plasma treatment and the microporosity of the PE-CVD and PE-ALD SiOx films could be established. © 2022 The Authors. Plasma Processes and Polymers published by Wiley-VCH GmbH.
    view abstract10.1002/ppap.202100174
  • Low-temperature ALD process development of 200 mm wafer-scale MoS2 for gas sensing application
    Neubieser, R.-M. and Wree, J.-L. and Jagosz, J. and Becher, M. and Ostendorf, A. and Devi, A. and Bock, C. and Michel, M. and Grabmaier, A.
    Micro and Nano Engineering 15 (2022)
    view abstract10.1016/j.mne.2022.100126
  • Low-temperature ALD/MLD growth of alucone and zincone thin films from non-pyrophoric precursors
    Philip, A. and Mai, L. and Ghiyasi, R. and Devi, A. and Karppinen, M.
    Dalton Transactions 51 (2022)
    view abstract10.1039/d2dt02279f
  • Molecular Permeation in Freestanding Bilayer Silica
    Naberezhnyi, D. and Mai, L. and Doudin, N. and Ennen, I. and Hütten, A. and Altman, E.I. and Devi, A. and Dementyev, P.
    Nano Letters 22 (2022)
    Graphene and other single-layer structures are pursued as high-flux separation membranes, although imparting porosity endangers their crystalline integrity. In contrast, bilayer silica composed of corner-sharing (SiO4) units is foreseen to be permeable for small molecules due to its intrinsic lattice openings. This study sheds light on the mass transport properties of freestanding 2D SiO2 upon using atomic layer deposition (ALD) to grow large-area films on Au/mica substrates followed by transfer onto Si3N4 windows. Permeation experiments with gaseous and vaporous substances reveal the suspended material to be porous, but the membrane selectivity appears to diverge from the size exclusion principle. Whereas the passage of inert gas molecules is hindered with a permeance below 10-7 mol·s-1·m-2·Pa-1, condensable species like water are found to cross vitreous bilayer silica a thousand times faster in accordance with their superficial affinity. This work paves the way for bilayer oxides to be addressed as inherent 2D membranes. © 2022 American Chemical Society.
    view abstract10.1021/acs.nanolett.1c04535
  • Nucleation and growth studies of large-area deposited WS2 on flexible substrates
    Berning, T. and Becher, M. and Wree, J.-L. and Jagosz, J. and Kostka, A. and Ostendorf, A. and Devi, A. and Bock, C.
    Materials Research Express 9 (2022)
    view abstract10.1088/2053-1591/ac9bd0
  • Plasma-Enhanced Atomic Layer Deposition of Molybdenum Oxide Thin Films at Low Temperatures for Hydrogen Gas Sensing
    Wree, J.-L. and Rogalla, D. and Ostendorf, A. and Schierbaum, K.D. and Devi, A.
    ACS Applied Materials and Interfaces (2022)
    view abstract10.1021/acsami.2c19827
  • Role of Anionic Backbone in NHC-Stabilized Coinage Metal Complexes: New Precursors for Atomic Layer Deposition**
    Boysen, N. and Philip, A. and Rogalla, D. and Karppinen, M. and Devi, A.
    Chemistry - A European Journal (2022)
    Cu and Ag precursors that are volatile, reactive, and thermally stable are currently of high interest for their application in atomic-layer deposition (ALD) of thin metal films. In pursuit of new precursors for coinage metals, namely Cu and Ag, a series of new N-heterocyclic carbene (NHC)-based CuI and AgI complexes were synthesized. Modifications in the substitution pattern of diketonate-based anionic backbones led to five monomeric Cu complexes and four closely related Ag complexes with the general formula [M(tBuNHC)(R)] (M=Cu, Ag; tBuNHC=1,3-di-tert-butyl-imidazolin-2-ylidene; R=diketonate). Thermal analysis indicated that most of the Cu complexes are thermally stable and volatile compared to the more fragile Ag analogs. One of the promising Cu precursors was evaluated for the ALD of nanoparticulate Cu metal deposits by using hydroquinone as the reducing agent at appreciably low deposition temperatures (145–160 °C). This study highlights the considerable impact of the employed ligand sphere on the structural and thermal properties of metal complexes that are relevant for vapor-phase processing of thin films. © 2022 The Authors. Chemistry - A European Journal published by Wiley-VCH GmbH.
    view abstract10.1002/chem.202103798
  • Silver Thin-Film Electrodes Grown by Low-Temperature Plasma-Enhanced Spatial Atomic Layer Deposition at Atmospheric Pressure
    Hasselmann, T. and Misimi, B. and Boysen, N. and Zanders, D. and Wree, J.-L. and Rogalla, D. and Haeger, T. and Zimmermann, F. and Brinkmann, K.O. and Schädler, S. and Theirich, D. and Heiderhoff, R. and Devi, A. and Riedl, T.
    Advanced Materials Technologies (2022)
    view abstract10.1002/admt.202200796
  • SnO deposition via water based ALD employing tin(ii) formamidinate: precursor characterization and process development
    Huster, N. and Ghiyasi, R. and Zanders, D. and Rogalla, D. and Karppinen, M. and Devi, A.
    Dalton Transactions 51 (2022)
    view abstract10.1039/d2dt02562k
  • Unveiling Ruthenium(II) Diazadienyls for Gas Phase Deposition Processes: Low Resistivity Ru Thin Films and Their Performance in the Acidic Oxygen Evolution Reaction
    Zanders, D. and Obenlüneschloß, J. and Wree, J.-L. and Jagosz, J. and Kaur, P. and Boysen, N. and Rogalla, D. and Kostka, A. and Bock, C. and Öhl, D. and Gock, M. and Schuhmann, W. and Devi, A.
    Advanced Materials Interfaces (2022)
    view abstract10.1002/admi.202201709
  • (tBuN)SiMe2NMe2-A new N,N ′-κ 2-monoanionic ligand for atomic layer deposition precursors
    Griffiths, M.B.E. and Zanders, D. and Land, M.A. and Masuda, J.D. and Devi, A. and Barry, S.T.
    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 39 (2021)
    Eight new atomic layer deposition (ALD) precursors were synthesized using a ligand that is new to the field of ALD: (tBuNH)SiMe2NMe2. Complexes containing Mg, V, Mn, Fe, Co, Ni, and Zn were found to be tetrahedral, and Li complexes form more complex structures. These compounds performed exceptionally well by thermogravimetric analysis (TGA). All compounds except for one Li species and the Fe complex left residual masses below 5%, similar or better than the analogous amidinate complexes. In particular, the Co(II) complex is very thermally robust and performs very well during a TGA stress test, surpassing temperatures above 200 °C. These compounds are the first of a family of precursors containing this type of monoanionic N-Si-N ligand and are prime candidates for ALD process development. © 2021 Author(s).
    view abstract10.1116/6.0000795
  • A study on the influence of ligand variation on formamidinate complexes of yttrium: New precursors for atomic layer deposition of yttrium oxide
    Beer, S.M.J. and Boysen, N. and Muriqi, A. and Zanders, D. and Berning, T. and Rogalla, D. and Bock, C. and Nolan, M. and Devi, A.
    Dalton Transactions 50 (2021)
    The synthesis and characterization of a series of closely related Y(iii) compounds comprising the formamidinate ligands (RNCHNR) (R = alkyl) is reported, with the scope of using them as prospective precursors for atomic layer deposition (ALD) of yttrium oxide (Y2O3) thin films. The influence of the side chain variation on the thermal properties of the resulting complexes is studied and benchmarked by thermal analysis and vapor pressure measurements. Density functional theory (DFT) studies give theoretical insights into the reactivity of the compounds towards water, which was targeted as a co-reactant for the deposition of Y2O3via thermal ALD in the next step. Among the four complexes analyzed, tris(N,N′-di-tert-butyl-formamidinato)yttrium(iii) [Y(tBu2-famd)3] 1 was found to possess enhanced thermal stability and was selected for Y2O3 ALD process development. A broad ALD window ranging from 200 °C to 325 °C was obtained, yielding films of high compositional quality. Furthermore, with a film density of (4.95 ± 0.05) g cm-1 close to the bulk value, polycrystalline fcc Y2O3 layers with a smooth topography resulted in promising dielectric properties when implemented in metal insulator semiconductor (MIS) capacitor structures. © 2021 The Royal Society of Chemistry.
    view abstract10.1039/d1dt01634b
  • Atomic Layer Deposition of Copper Metal Films from Cu(acac)2 and Hydroquinone Reductant
    Tripathi, T.S. and Wilken, M. and Hoppe, C. and de los Arcos, T. and Grundmeier, G. and Devi, A. and Karppinen, M.
    Advanced Engineering Materials 23 (2021)
    High-quality copper metal thin films are demanded for a number of advanced technologies. Herein, a facile ALD (atomic layer deposition) process for the fabrication of Cu metal films directly from two solid readily usable precursors, copper acetylacetonate as the source of copper and hydroquinone as the reductant is reported. This process yields highly crystalline, dense, specularly reflecting, and electrically conductive Cu films with an appreciably high growth rate of 1.8 Å/cycle at deposition temperatures as low as 160 to 240 °C. © 2021 The Authors. Advanced Engineering Materials published by Wiley-VCH GmbH
    view abstract10.1002/adem.202100446
  • Atomic layer deposition of dielectric Y2O3thin films from a homoleptic yttrium formamidinate precursor and water
    Boysen, N. and Zanders, D. and Berning, T. and Beer, S.M.J. and Rogalla, D. and Bock, C. and Devi, A.
    RSC Advances 11 (2021)
    We report the application of tris(N,N′-diisopropyl-formamidinato)yttrium(iii) [Y(DPfAMD)3] as a promising precursor in a water-assisted thermal atomic layer deposition (ALD) process for the fabrication of high quality Y2O3 thin films in a wide temperature range of 150 °C to 325 °C. This precursor exhibits distinct advantages such as improved chemical and thermal stability over the existing Y2O3 ALD precursors including the homoleptic and closely related yttrium tris-amidinate [Y(DPAMD)3] and tris-guanidinate [Y(DPDMG)3], leading to excellent thin film characteristics. Smooth, homogeneous, and polycrystalline (fcc) Y2O3 thin films were deposited at 300 °C with a growth rate of 1.36 Å per cycle. At this temperature, contamination levels of C and N were under the detectable limits of nuclear reaction analysis (NRA), while X-ray photoelectron spectroscopy (XPS) measurements confirmed the high purity and stoichiometry of the thin films. From the electrical characterization of metal-insulator-semiconductor (MIS) devices, a permittivity of 13.9 at 1 MHz could be obtained, while the electric breakdown field is in the range of 4.2 and 6.1 MV cm-1. Furthermore, an interface trap density of 1.25 × 1011 cm-2 and low leakage current density around 10-7 A cm-2 at 2 MV cm-1 are determined, which satisfies the requirements of gate oxides for complementary metal-oxide-semiconductor (CMOS) based applications. © 2021 The Royal Society of Chemistry.
    view abstract10.1039/d0ra09876k
  • Bendable Polycrystalline and Magnetic CoFe2O4Membranes by Chemical Methods
    Salles, P. and Guzmán, R. and Zanders, D. and Quintana, A. and Fina, I. and Sánchez, F. and Zhou, W. and Devi, A. and Coll, M.
    ACS Applied Materials and Interfaces (2021)
    The preparation and manipulation of crystalline yet bendable functional complex oxide membranes has been a long-standing issue for a myriad of applications, in particular, for flexible electronics. Here, we investigate the viability to prepare magnetic and crystalline CoFe2O4 (CFO) membranes by means of the Sr3Al2O6 (SAO) sacrificial layer approach using chemical deposition techniques. Meticulous chemical and structural study of the SAO surface and SAO/CFO interface properties have allowed us to identify the formation of an amorphous SAO capping layer and carbonates upon air exposure, which dictate the crystalline quality of the subsequent CFO film growth. Vacuum annealing at 800 °C of SAO films promotes the elimination of the surface carbonates and the reconstruction of the SAO surface crystallinity. Ex-situ atomic layer deposition of CFO films at 250 °C on air-exposed SAO offers the opportunity to avoid high-temperature growth while achieving polycrystalline CFO films that can be successfully transferred to a polymer support preserving the magnetic properties under bending. Float on and transfer provides an alternative route to prepare freestanding and wrinkle-free CFO membrane films. The advances and challenges presented in this work are expected to help increase the capabilities to grow different oxide compositions and heterostructures of freestanding films and their range of functional properties. © 2022 The Authors. Published by American Chemical Society.
    view abstract10.1021/acsami.1c24450
  • Chemical Vapor Deposition of Cobalt and Nickel Ferrite Thin Films: Investigation of Structure and Pseudocapacitive Properties
    Zywitzki, D. and Schaper, R. and Ciftyürek, E. and Wree, J.-L. and Taffa, D.H. and Baier, D.M. and Rogalla, D. and Li, Y. and Meischein, M. and Ludwig, A. and Li, Z. and Schierbaum, K. and Wark, M. and Devi, A.
    Advanced Materials Interfaces 8 (2021)
    Transition metal ferrites, such as CoFe2O4 (CFO) and NiFe2O4 (NFO), have gained increasing attention as potential materials for supercapacitors. Since chemical vapor deposition (CVD) offers advantages like interface quality to the underlying substrates and the possibility for coverage of 3D substrates, two CVD processes are reported for CFO and NFO. Growth rates amount to 150 to 200 nm h−1 and yield uniform, dense, and phase pure spinel ferrite films according to X-ray diffraction (XRD), Raman spectroscopy, Rutherford backscattering spectrometry and nuclear reaction analysis (RBS/NRA) and scanning electron microscopy (SEM). Atom probe tomography (APT) and synchrotron X-ray photoelectron spectroscopy (XPS) give insights into the vertical homogeneity and oxidation states in the CFO films. Cation disorder of CFO is analyzed for the first time from synchrotron-based XPS. NFO is analyzed via lab-based XPS. Depositions on conducting Ni and Ti substrates result in electrodes with pseudocapacitive behavior, as evidenced by cyclovoltammetry (CV) experiments. The interfacial capacitances of the electrodes are up to 185 µF cm−2. © 2021 The Authors. Advanced Materials Interfaces published by Wiley-VCH GmbH
    view abstract10.1002/admi.202100949
  • Co(II) Amide, Pyrrolate, and Aminopyridinate Complexes: Assessment of their Manifold Structural Chemistry and Thermal Properties**
    Zanders, D. and Boysen, N. and Land, M.A. and Obenlüneschloß, J. and Masuda, J.D. and Mallick, B. and Barry, S.T. and Devi, A.
    European Journal of Inorganic Chemistry (2021)
    A series of cobalt(II) (silyl)amides, pyrrolates and aminopyridinates were synthesized. Inspired by the dimeric bis(trimethylsilylamido)cobalt(II) complex ([Co(TMSA)2]2), facile salt metathesis employing the ligand 2,2,5,5-tetramethyl-1,2,5-azadisilolidinyl (TMADS) yielded its congener [Co(TMADS)2]2. Novel, heteroleptic Lewis adducts of the former resulted in unusual three- to four-fold coordination geometry around the metal center. Similarily, the salt [Li(DAD)2][Co(TMADS)3] was isolated which demonstrates an ion separated Co(II) anion with silylamide ligation and Li+ counter cation. Transpyrrolylation using [Co(TMSA)2]2 was established for the synthesis of bis[N,N’-2-(dimethylaminomethyl)pyrrolyl]cobalt(II), and bis(N-2-(tert-butyliminomethyl)pyrrolyl)cobalt(II). Treatment of CoCl2 with two equivalents of lithiated N,N-dimethyl(N’-tert-butyl)ethane-1-amino-2-amide and N,N-dimethyl(N’-trimethylsilyl)ethane-1-amino-2-amide resulted in the respective Co(II) amido-amines. Reaction of CoCl2 with lithium 4-methyl-N-(trimethylsilyl)pyridine-2-amide yielded the first binuclear, homoleptic Co(II) aminopyridinate complex with a distorted trigonal bipyramidal coordination environment (τ5=0.533) for one central Co(II) ion and a weakly distorted tetrahedral coordination geometry (τ4=0.845) for the other. All of the new compounds were thoroughly characterized in terms of composition and structure. Finally, the key thermal characteristics of volatility and thermal stability were assessed using a combination of thermogravimetric analysis and complementary bulk sublimation experiments. © 2021 The Authors. European Journal of Inorganic Chemistry published by Wiley-VCH GmbH
    view abstract10.1002/ejic.202100851
  • Cobalt Metal ALD: Understanding the Mechanism and Role of Zinc Alkyl Precursors as Reductants for Low-Resistivity Co Thin Films
    Zanders, D. and Liu, J. and Obenlüneschloß, J. and Bock, C. and Rogalla, D. and Mai, L. and Nolan, M. and Barry, S.T. and Devi, A.
    Chemistry of Materials (2021)
    In this work, we report a new and promising approach toward the atomic layer deposition (ALD) of metallic Co thin films. Utilizing the simple and known CoCl2(TMEDA) (TMEDA = N,N,N′,N′-tetramethylethylenediamine) precursor in combination with the intramolecularly stabilized Zn aminoalkyl compound Zn(DMP)2 (DMP = dimethylaminopropyl) as an auxiliary reducing agent, a thermal ALD process is developed that enables the deposition of Zn-free Co thin films. ALD studies demonstrate the saturation behavior of both precursors and linearity depending on the applied number of cycles as well as temperature dependency of film growth in a regime of 140-215 °C. While the process optimization is carried out on Si with native oxide, additional growth studies are conducted on Au and Pt substrates. This study is complemented by initial reactivity and suitability tests of several potential Zn alkyl-reducing agents. For the CoCl2(TMEDA)-Zn(DMP)2 combination, these findings allow us to propose a series of elemental reaction steps hypothetically leading to pure Co film formation in the ALD process whose feasibility is probed by a set of density functional theory (DFT) calculations. The DFT results show that for reactions of the precursors in the gas phase and on Co(111) substrate surfaces, a pathway involving C-C coupling and diamine formation through reductive elimination of an intermediate Co(II) alkyl species is preferred. Co thin films with an average thickness of 10-25 nm obtained from the process are subjected to thorough analysis comprising atomic force microscopy, scanning electron microscopy, and Rutherford backscattering spectrometry/nuclear reaction analysis as well as depth profiling X-ray photoemission spectroscopy (XPS). From XPS analysis, it was found that graphitic and carbidic carbon coexist in the Co metal film bulk. Despite carbon concentrations of ∼20 at. % in the Co thin film bulk, resistivity measurements for ∼22 nm thick films grown on a defined SiO2 insulator layer yield highly promising values in a range of 15-20 μω cm without any postgrowth treatment. © 2021 American Chemical Society.
    view abstract10.1021/acs.chemmater.1c00877
  • CVD grown GaSbxN1-xfilms as visible-light active photoanodes
    Zywitzki, D. and Mitoraj, D. and Vilk, Y. and Mendoza Reyes, O. and Schleuning, M. and Friedrich, D. and Sadlo, A. and Rogalla, D. and Eichberger, R. and Beranek, R. and Devi, A.
    Dalton Transactions 50 (2021)
    The III-V semiconductor GaN is a promising material for photoelectrochemical (PEC) cells, however the large bandgap of 3.45 eV is a considerable hindrance for the absorption of visible light. Therefore, the substitution of small amounts of N anions by isovalent Sb is a promising route to lower the bandgap and thus increase the PEC activity under visible light. Herein we report a new chemical vapor deposition (CVD) process utilizing the precursors bis(N,N′-diisopropyl-2-methyl-amidinato)-methyl gallium (III) and triphenyl antimony (TPSb) for the growth of GaSbxN1-x alloys. X-ray diffraction (XRD) and scanning electron microscopy (SEM) measurements show crystalline and homogeneous thin films at deposition temperatures in the range of 500-800 °C. Rutherford backscattering spectrometry (RBS) combined with nuclear reaction analysis (NRA) shows an incorporation of 0.2-0.7 at% antimony into the alloy, which results in a slight bandgap decrease (up to 0.2 eV) accompanied by enhanced sub-bandgap optical response. While the resulting photoanodes are active under visible light, the external quantum efficiencies remained low. Intriguingly, the best performing films exhibits the lowest charge carrier mobility according to time resolved THz spectroscopy (TRTS) and microwave conductivity (TRMC) measurements, which showed mobilities of up to 1.75 cm2 V-1 s-1 and 1.2 × 10-2 cm2 V-1 s-1, for each timescale, respectively. © 2021 The Royal Society of Chemistry.
    view abstract10.1039/d1dt02455h
  • Fabrication of Gd: XFeyOzfilms using an atomic layer deposition-type approach
    Yu, P. and Beer, S.M.J. and Devi, A. and Coll, M.
    CrystEngComm 23 (2021)
    The growth of complex oxide thin films with atomic precision offers bright prospects to study improved properties and novel functionalities. Here we tackle the fabrication of gadolinium iron oxide thin films by an atomic layer deposition-type approach in which iron and gadolinium tailor-made metalorganic precursors (bis(N-isopropyl ketoiminate)iron(ii), [Fe(ipki)2] and tris(N,N′-diisopropyl-2-dimethylamido-guanidinato)gadolinium(iii), [Gd(DPDMG)3]) are alternately reacted with ozone and deposited on silicon substrates at 250 °C. The structure, chemical composition and magnetic properties of the resulting films are compared with those obtained from a commercially available ferrocene precursor [Fe(Cp)2] and [Gd(DPDMG)3]. All films resulted in cation ratio close to nominal stoichiometry with negligible amount of organic species. The tailor-made metalorganic precursors, designed to provide similar thermal behavior, result in the formation of polycrystalline Gd3Fe5O12 films coexisting with GdFeO3, Gd2O3 and Fe2O3 whereas the combination of [Fe(Cp)2] and [Gd(DPDMG)3] mainly favors the formation of Gd3Fe5O12 films coexisting with traces of Gd2O3. This study demonstrates that this is a viable route to prepare complex GdxFeyOz films and could be used for the design of complex oxide films with improved properties upon rigorous study of the compatibility of metalorganic precursors. © The Royal Society of Chemistry.
    view abstract10.1039/d0ce01252a
  • Investigation of an atomic-layer-deposited Al2O3 diffusion barrier between Pt and Si for the use in atomic scale atom probe tomography studies on a combinatorial processing platform
    Li, Y. and Zanders, D. and Meischein, M. and Devi, A. and Ludwig, A.
    Surface and Interface Analysis 53 (2021)
    In order to enable the application of atomic probe tomography combinatorial processing platforms for atomic-scale investigations of phase evolution at elevated temperatures, the pre-sharpened Si tip of 10–20 nm in diameter must be protected against interdiffusion and reaction of the reactive Si with a film of interest by a conformal coating on the Si tip. It is shown that unwanted reactions can be suppressed by introducing a 20-nm-thick intermediate Al2O3 layer grown by atomic layer deposition (ALD). As a representative case, Pt is chosen as a film of interest, as it easily forms silicides. Whereas without the ALD coating diffusion/reactions occur, with the protective film, this is prevented for temperatures up to at least 600°C. The effectiveness of the Al2O3 layer serving as a diffusion barrier is not limited to a sharpened Si tip but works generally for all cases where a Si substrate is used. © 2021 The Authors. Surface and Interface Analysis published by John Wiley & Sons Ltd.
    view abstract10.1002/sia.6955
  • Rational Development of Guanidinate and Amidinate Based Cerium and Ytterbium Complexes as Atomic Layer Deposition Precursors: Synthesis, Modeling, and Application
    Kaur, P. and Mai, L. and Muriqi, A. and Zanders, D. and Ghiyasi, R. and Safdar, M. and Boysen, N. and Winter, M. and Nolan, M. and Karppinen, M. and Devi, A.
    Chemistry - A European Journal 27 (2021)
    Owing to the limited availability of suitable precursors for vapor phase deposition of rare-earth containing thin-film materials, new or improved precursors are sought after. In this study, we explored new precursors for atomic layer deposition (ALD) of cerium (Ce) and ytterbium (Yb) containing thin films. A series of homoleptic tris-guanidinate and tris-amidinate complexes of cerium (Ce) and ytterbium (Yb) were synthesized and thoroughly characterized. The C-substituents on the N-C-N backbone (Me, NMe2, NEt2, where Me=methyl, Et=ethyl) and the N-substituents from symmetrical iso-propyl (iPr) to asymmetrical tertiary-butyl (tBu) and Et were systematically varied to study the influence of the substituents on the physicochemical properties of the resulting compounds. Single crystal structures of [Ce(dpdmg)3] 1 and [Yb(dpdmg)3] 6 (dpdmg=N,N'-diisopropyl-2-dimethylamido-guanidinate) highlight a monomeric nature in the solid-state with a distorted trigonal prismatic geometry. The thermogravimetric analysis shows that the complexes are volatile and emphasize that increasing asymmetry in the complexes lowers their melting points while reducing their thermal stability. Density functional theory (DFT) was used to study the reactivity of amidinates and guanidinates of Ce and Yb complexes towards oxygen (O2) and water (H2O). Signified by the DFT calculations, the guanidinates show an increased reactivity toward water compared to the amidinate complexes. Furthermore, the Ce complexes are more reactive compared to the Yb complexes, indicating even a reactivity towards oxygen potentially exploitable for ALD purposes. As a representative precursor, the highly reactive [Ce(dpdmg)3] 1 was used for proof-of-principle ALD depositions of CeO2 thin films using water as co-reactant. The self-limited ALD growth process could be confirmed at 160 °C with polycrystalline cubic CeO2 films formed on Si(100) substrates. This study confirms that moving towards nitrogen-coordinated rare-earth complexes bearing the guanidinate and amidinate ligands can indeed be very appealing in terms of new precursors for ALD of rare earth based materials. © 2020 The Authors. Chemistry - A European Journal published by Wiley-VCH GmbH
    view abstract10.1002/chem.202003907
  • Sensing and electrocatalytic activity of tungsten disulphide thin films fabricated via metal-organic chemical vapour deposition
    Wree, J.-L. and Glauber, J.-P. and Öhl, D. and Niesen, A. and Kostka, A. and Rogalla, D. and Schuhmann, W. and Devi, A.
    Journal of Materials Chemistry C 9 (2021)
    The unique structural and electronic properties of transition metal dichalcogenides (TMDs) and in particular tungsten disulphide (WS2) make them interesting for a variety of applications such as the electrocatalytic hydrogen evolution reaction (HER) for water splitting devices and chemiresistive gas sensors. The key parameter for the realisation of these devices is the controlled large-area growth of WS2 combined with tuning the surface morphology and electronic properties which is achieved by bottom-up fabrication methods such as chemical vapour deposition (CVD). In this study, 2H-WS2 films are fabricated by a new metal-organic CVD (MOCVD) process resulting in the growth of crystalline, pure, and stoichiometric films which was accomplished at temperatures as low as 600 °C as confirmed by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), Rutherford backscattering spectrometry (RBS)/nuclear reaction analysis (NRA), and Raman spectroscopy. The surface morphology of WS2 layers was investigated by scanning electron microscopy (SEM) and high-resolution transmission electron microscopy (HR-TEM). Following successful process development, the WS2 layers were deposited on conducting FTO/glass substrates and their catalytic activity for the HER was evaluated in a linear sweep voltammetry (LSV) experiment. Furthermore, the temperature-dependent sensor response towards NO2, CO, and NH3 was investigated for WS2 films deposited on special sensor chips, revealing a p-type response towards NO2 and NH3 and sensitivities of around 20% for NO2 and NH3 concentrations of 1.5 ppm and 7.6 ppm, respectively. These promising results demonstrate the effectiveness of scalable CVD-grown WS2 and pave the way for practical applications by modulating the properties of materials to achieve enhanced electrocatalytic and sensing performances employing WS2 layers. © 2021 The Royal Society of Chemistry.
    view abstract10.1039/d1tc02417e
  • A carbene stabilized precursor for the spatial atomic layer deposition of copper thin films
    Boysen, N. and Misimi, B. and Muriqi, A. and Wree, J.-L. and Hasselmann, T. and Rogalla, D. and Haeger, T. and Theirich, D. and Nolan, M. and Riedl, T. and Devi, A.
    Chemical Communications 56 (2020)
    This paper demonstrates a carbene stabilized precursor [Cu(tBuNHC)(hmds)] with suitable volatility, reactivity and thermal stability, that enables the spatial plasma-enhanced atomic layer deposition (APP-ALD) of copper thin films at atmospheric pressure. The resulting conductive and pure copper layers were thoroughly analysed and a comparison of precursor and process with the previously reported silver analogue [Ag(tBuNHC)(hmds)] revealed interesting similarities and notable differences in precursor chemistry and growth characteristics. This first report of APP-ALD grown copper layers is an important starting point for high throughput, low-cost manufacturing of copper films for nano- and optoelectronic devices. © 2020 The Royal Society of Chemistry.
    view abstract10.1039/d0cc05781a
  • A new metalorganic chemical vapor deposition process for MoS2with a 1,4-diazabutadienyl stabilized molybdenum precursor and elemental sulfur
    Wree, J.-L. and Ciftyurek, E. and Zanders, D. and Boysen, N. and Kostka, A. and Rogalla, D. and Kasischke, M. and Ostendorf, A. and Schierbaum, K. and Devi, A.
    Dalton Transactions 49 (2020)
    Molybdenum disulfide (MoS2) is known for its versatile properties and hence is promising for a wide range of applications. The fabrication of high quality MoS2either as homogeneous films or as two-dimensional layers on large areas is thus the objective of intense research. Since industry requirements on MoS2thin films can hardly be matched by established exfoliation fabrication methods, there is an enhanced need for developing new chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes where a rational precursor selection is a crucial step. In this study, a new molybdenum precursor, namely 1,4-di-tert-butyl-1,4-diazabutadienyl-bis(tert-butylimido)molybdenum(vi) [Mo(NtBu)2(tBu2DAD)], is identified as a potential candidate. The combination of imido and chelating 1,4-diazadieneyl ligand moieties around the molybdenum metal center results in a monomeric compound possessing adequate thermal characteristics relevant for vapor phase deposition applications. Hexagonal MoS2layers are fabricated in a metalorganic CVD (MOCVD) process with elemental sulfur as the co-reactant at temperatures between 600 °C and 800 °C. The structure and composition of the films are investigated by X-ray diffraction, high resolution transmission electron microscopy, synchrotron X-ray photoelectron spectroscopy and Raman spectroscopy revealing crystalline and stoichiometric MoS2films. The new MOCVD process developed for MoS2is highly promising due to its moderate process conditions, scalability and controlled targeted composition. © The Royal Society of Chemistry 2020.
    view abstract10.1039/d0dt02471f
  • A Rare Low-Spin CoIV Bis(β-silyldiamide) with High Thermal Stability: Steric Enforcement of a Doublet Configuration
    Zanders, D. and Bačić, G. and Leckie, D. and Odegbesan, O. and Rawson, J. and Masuda, J.D. and Devi, A. and Barry, S.T.
    Angewandte Chemie - International Edition 59 (2020)
    Attempted preparation of a chelated CoII β-silylamide resulted in the unprecedented disproportionation to Co0 and a spirocyclic cobalt(IV) bis(β-silyldiamide): [Co[(NtBu)2SiMe2]2] (1). Compound 1 exhibited a room-temperature magnetic moment of 1.8 B.M. and a solid-state axial EPR spectrum diagnostic of a rare S=1/2 configuration for tetrahedral CoIV. Ab initio semicanonical coupled-cluster calculations (DLPNO-CCSD(T)) revealed the doublet state was clearly preferred (−27 kcal mol−1) over higher spin configurations only for the bulky tert-butyl-substituted analogue. Unlike other CoIV complexes, 1 had remarkable thermal stability, and was demonstrated to form a stable self-limiting monolayer in preliminary atomic layer deposition (ALD) surface saturation experiments. The ease of synthesis and high stability make 1 an attractive starting point to investigate otherwise inaccessible CoIV intermediates and for synthesizing new materials. © 2020 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.
    view abstract10.1002/anie.202001518
  • Additive-free spin coating of tin oxide thin films: Synthesis, characterization and evaluation of tin β-ketoiminates as a new precursor class for solution deposition processes
    Huster, N. and Zanders, D. and Karle, S. and Rogalla, D. and Devi, A.
    Dalton Transactions 49 (2020)
    The fabrication of SnOx in thin film form via chemical solution deposition (CSD) processes is favored over vacuum based techniques as it is cost effective and simpler. The precursor employed plays a central role in defining the process conditions for CSD. Particularly for processing SnO2 layers that are appealing for sensor or electronic applications, there are limited precursors available for CSD. Thus the focus of this work was to develop metalorganic precursors for tin, based on the ketoiminate ligand class. By systematic molecular engineering of the ligand periphery, a series of new homoleptic Sn(ii) β-ketoiminate complexes was synthesized, namely bis[4-(2-methoxyethylimino)-3-pentanonato] tin, [Sn(MEKI)2] (1), bis[4-(2-ethoxyethylimino)-2-pentanonato] tin, [Sn(EEKI)2] (2), bis[4-(3-methoxypropylimino)-2-pentanonato] tin, [Sn(MPKI)2] (3), bis[4-(3-ethoxypropylimino)-2-pentanonato] tin, [Sn(EPKI)2] (4) and bis[4-(3-isopropoxypropylimino)-2-pentanonato] tin, [Sn(iPPKI)2] (5). All these N-side-chain ether functionalized compounds were analyzed by nuclear magnetic resonance (NMR) spectroscopy, electron impact mass spectrometry (EI-MS), elemental analysis (EA) and thermogravimetric analysis (TGA). The solid state molecular structure of [Sn(MPKI)2] (3) was eludicated by means of single crystal X-ray diffraction (SCXRD). Interestingly, this class of compounds features excellent solubility and stability in common organic solvents alongside good reactivity towards H2O and low decomposition temperatures, thus fulfilling the desired requirements for CSD of tin oxides. With compound 3 as a representative example, we have demonstrated the possibility to directly deposit SnOx layers via hydrolysis upon exposure to air followed by heat treatment under oxygen at moderate temperatures and most importantly without the need for any additive that is generally used in CSD. A range of complementary analytical methods were employed, namely X-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) to analyse the structure, morphology and composition of the SnOx layers. This journal is © The Royal Society of Chemistry.
    view abstract10.1039/d0dt01463j
  • From Precursor Chemistry to Gas Sensors: Plasma-Enhanced Atomic Layer Deposition Process Engineering for Zinc Oxide Layers from a Nonpyrophoric Zinc Precursor for Gas Barrier and Sensor Applications
    Mai, L. and Mitschker, F. and Bock, C. and Niesen, A. and Ciftyurek, E. and Rogalla, D. and Mickler, J. and Erig, M. and Li, Z. and Awakowicz, P. and Schierbaum, K. and Devi, A.
    Small 16 (2020)
    The identification of bis-3-(N,N-dimethylamino)propyl zinc ([Zn(DMP)2], BDMPZ) as a safe and potential alternative to the highly pyrophoric diethyl zinc (DEZ) as atomic layer deposition (ALD) precursor for ZnO thin films is reported. Owing to the intramolecular stabilization, BDMPZ is a thermally stable, volatile, nonpyrophoric solid compound, however, it possesses a high reactivity due to the presence of Zn-C and Zn-N bonds in this complex. Employing this precursor, a new oxygen plasma enhanced (PE)ALD process in the deposition temperature range of 60 and 160 °C is developed. The resulting ZnO thin films are uniform, smooth, stoichiometric, and highly transparent. The deposition on polyethylene terephthalate (PET) at 60 °C results in dense and compact ZnO layers for a thickness as low as 7.5 nm with encouraging oxygen transmission rates (OTR) compared to the bare PET substrates. As a representative application of the ZnO layers, the gas sensing properties are investigated. A high response toward NO2 is observed without cross-sensitivities against NH3 and CO. Thus, the new PEALD process employing BDMPZ has the potential to be a safe substitute to the commonly used DEZ processes. © 2020 The Authors. Published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/smll.201907506
  • Hierarchical highly ordered SnO2 nanobowl branched ZnO nanowires for ultrasensitive and selective hydrogen sulfide gas sensing
    Zhu, L.-Y. and Yuan, K.-P. and Yang, J.-H. and Hang, C.-Z. and Ma, H.-P. and Ji, X.-M. and Devi, A. and Lu, H.-L. and Zhang, D.W.
    Microsystems and Nanoengineering 6 (2020)
    Highly sensitive and selective hydrogen sulfide (H2S) sensors based on hierarchical highly ordered SnO2 nanobowl branched ZnO nanowires (NWs) were synthesized via a sequential process combining hard template processing, atomic-layer deposition, and hydrothermal processing. The hierarchical sensing materials were prepared in situ on microelectromechanical systems, which are expected to achieve high-performance gas sensors with superior sensitivity, long-term stability and repeatability, as well as low power consumption. Specifically, the hierarchical nanobowl SnO2@ZnO NW sensor displayed a high sensitivity of 6.24, a fast response and recovery speed (i.e., 14 s and 39 s, respectively), and an excellent selectivity when detecting 1 ppm H2S at 250 °C, whose rate of resistance change (i.e., 5.24) is 2.6 times higher than that of the pristine SnO2 nanobowl sensor. The improved sensing performance could be attributed to the increased specific surface area, the formation of heterojunctions and homojunctions, as well as the additional reaction between ZnO and H2S, which were confirmed by electrochemical characterization and band alignment analysis. Moreover, the well-structured hierarchical sensors maintained stable performance after a month, suggesting excellent stability and repeatability. In summary, such well-designed hierarchical highly ordered nanobowl SnO2@ZnO NW gas sensors demonstrate favorable potential for enhanced sensitive and selective H2S detection with long-term stability and repeatability. © 2020, The Author(s).
    view abstract10.1038/s41378-020-0142-6
  • Study on Structural and Thermal Characteristics of Heteroleptic Yttrium Complexes as Potential Precursors for Vapor Phase Deposition
    Beer, S.M.J. and Krusenbaum, A. and Winter, M. and Vahlas, C. and Devi, A.
    European Journal of Inorganic Chemistry 2020 (2020)
    Yttrium oxide (Y2O3) thin films are implemented as a functional component in a broad field of applications such as optics, electronics or thermal barrier coatings. Atomic layer deposition (ALD) is a promising technique to fabricate high-quality thin films with atomic level precision in which the precursor choice plays a crucial role in process development. The limited number of suitable yttrium precursors available for ALD of Y2O3 has triggered increasing research activity seeking new or modified precursors. In this study, heteroleptic compounds of yttrium bearing the cyclopentadienyl (Cp) ligand in combination with the chelating amidinate or guanidinate ligands were targeted as potential precursors for ALD. In this context, a systematic and comparative study of the structure and thermal characteristics of (bis-cyclopentadienyl-(N,N'-diisopropyl-2-methyl-amidinato)yttrium) [YCp2(dpamd)] 1 and (bis-cyclopentadienyl-(N,N'-diisopropyl-2-dimethylamido-guanidinato)yttrium) [YCp2(dpdmg)] 2 was performed. Complementary characterization tools such as 1H-NMR, elemental analysis, electron-impact mass spectrometry (EI-MS) and single-crystal X-ray diffraction (XRD) confirmed the spectroscopic purity and the monomeric nature of the metalorganic compounds. Hirshfeld surface analysis revealed influence of the ligand choice on the intermolecular interactions of the compounds. The important figures of merit for a precursor, namely the thermal properties were investigated via thermogravimetric analysis. Thus, the volatility, transport behavior and thermal stability were examined and compared to their homoleptic counterparts [YCp3], [Y(dpamd)3] or [Y(dpdmg)3]. © 2020 The Authors published by Wiley-VCH GmbH
    view abstract10.1002/ejic.202000436
  • Tuning Coordination Geometry of Nickel Ketoiminates and Its Influence on Thermal Characteristics for Chemical Vapor Deposition of Nanostructured NiO Electrocatalysts
    Zywitzki, D. and Taffa, D.H. and Lamkowski, L. and Winter, M. and Rogalla, D. and Wark, M. and Devi, A.
    Inorganic Chemistry 59 (2020)
    Nickel-based nanostructured materials have gained widespread attention, particularly for energy-related applications. Employing chemical vapor deposition (CVD) for NiO necessitates suitable nickel precursors that are volatile and stable. Herein, we report the synthesis and characterization of a series of new nickel β-ketoiminato complexes with different aliphatic and etheric side chain substitutions, namely, bis(4-(isopropylamino)-pent-3-en-2-onato)nickel(II) ([Ni(ipki)2], 1), bis(4-(2-methoxyethylamino)pent-3-en-2-onato)nickel(II) ([Ni(meki)2], 2), bis(4-(2-ethoxyethylamino)pent-3-en-2-onato)nickel(II) ([Ni(eeki)2], 3), bis(4-(3-methoxy-propylamino)-pent-3-en-2-onato)nickel(II) ([Ni(mpki)2], 4), and bis(4-(3-ethoxypropylamino)pent-3-en-2-onato)nickel(II) ([Ni(epki)2], 5). These compounds have been thoroughly characterized with regard to their purity and identity by means of nuclear magnetic resonance spectroscopy (NMR) and electron impact mass spectrometry (EI-MS). Contrary to other transition metal β-ketoiminates, the imino side chain strongly influences the structural geometry of the complexes, which was ascertained via single-crystal X-ray diffraction (XRD). As a result, the magnetic momenta of the molecules also differ significantly as evidenced by the magnetic susceptibility measurements employing Evan's NMR method in solution. Thermal analysis revealed the suitability of these compounds as new class of precursors for CVD of Ni containing materials. As a representative precursor, compound 2 was evaluated for the CVD of NiO thin films on Si(100) and conductive glass substrates. The as-deposited nanostructured layers were stoichiometric and phase pure NiO as confirmed by XRD, Rutherford backscattering spectrometry (RBS), and nuclear reaction analysis (NRA). X-ray photoelectron spectroscopy (XPS) indicated the formation of slightly oxygen-rich surfaces. The assessment of NiO films in electrocatalysis revealed promising activity for the oxygen evolution reactions (OER). The current densities of 10 mA cm-2 achieved at overpotentials ranging between 0.48 and 0.52 V highlight the suitability of the new Ni complexes in CVD processes for the fabrication of thin film electrocatalysts. Copyright © 2020 American Chemical Society.
    view abstract10.1021/acs.inorgchem.0c01204
  • Comparative Study of Photocarrier Dynamics in CVD-deposited CuWO4, CuO, and WO3 Thin Films for Photoelectrocatalysis
    Hirst, J. and Müller, S. and Peeters, D. and Sadlo, A. and Mai, L. and Reyes, O.M. and Friedrich, D. and Mitoraj, D. and Devi, A. and Beranek, R. and Eichberger, R.
    Zeitschrift fur Physikalische Chemie (2019)
    The temporal evolution of photogenerated carriers in CuWO4, CuO and WO3 thin films deposited via a direct chemical vapor deposition approach was studied using time-resolved microwave conductivity and terahertz spectroscopy to obtain the photocarrier lifetime, mobility and diffusion length. The carrier transport properties of the films prepared by varying the copper-to-tungsten stoichiometry were compared and the results related to the performance of the compositions built into respective photoelectrochemical cells. Superior carrier mobility was observed for CuWO4 under frontside illumination. © 2019 Walter de Gruyter GmbH, Berlin/Boston.
    view abstract10.1515/zpch-2019-1485
  • Fabrication of heterostructured p-CuO/n-SnO 2 core-shell nanowires for enhanced sensitive and selective formaldehyde detection
    Zhu, L.-Y. and Yuan, K. and Yang, J.-G. and Ma, H.-P. and Wang, T. and Ji, X.-M. and Feng, J.-J. and Devi, A. and Lu, H.-L.
    Sensors and Actuators, B: Chemical (2019)
    Highly sensitive and selective gas sensors based on heterostructured p-CuO/n-SnO 2 core-shell nanowires (NWs) with precisely controlled shell thickness were synthesized through a sequential process combining a solution processing and atomic layer deposition. The gas sensing devices were fabricated on micro-electromechanical systems, which has triggered great research interest for low power consumption and highly integrated design. The designed p-CuO/n-SnO 2 core-shell NW structured gas sensors exhibited superior gas sensing performance, which is closely related to the thickness of the SnO 2 shell. Specifically, p-CuO/n-SnO 2 core-shell NWs with a 24 nm thick SnO 2 shell displayed a high sensitivity (R a /R g ) of 2.42, whose rate of resistance change (i.e. 1.42) is 3 times higher than the pristine CuO NW sensor when detecting 50 ppm formaldehyde (HCHO) at 250 °C. The enhanced gas sensing performance could be attributed to the formation of p-n heterojunction which was revealed by specific band alignment and the heterojunction-depletion model. Besides, the well-structured p-CuO/n-SnO 2 core-shell NWs achieved excellent selectivity for HCHO from commonly occurred reducing gases. In a word, such heterostructured p-CuO/n-SnO 2 core-shell NW gas sensors demonstrate a feasible approach for enhanced sensitive and selective HCHO detection. © 2019 Elsevier B.V.
    view abstract10.1016/j.snb.2019.03.092
  • Fabrication of heterostructured p-CuO/n-SnO2 core-shell nanowires for enhanced sensitive and selective formaldehyde detection
    Zhu, L.-Y. and Yuan, K. and Yang, J.-G. and Ma, H.-P. and Wang, T. and Ji, X.-M. and Feng, J.-J. and Devi, A. and Lu, H.-L.
    Sensors and Actuators, B: Chemical 290 (2019)
    Highly sensitive and selective gas sensors based on heterostructured p-CuO/n-SnO2 core-shell nanowires (NWs) with precisely controlled shell thickness were synthesized through a sequential process combining a solution processing and atomic layer deposition. The gas sensing devices were fabricated on micro-electromechanical systems, which has triggered great research interest for low power consumption and highly integrated design. The designed p-CuO/n-SnO2 core-shell NW structured gas sensors exhibited superior gas sensing performance, which is closely related to the thickness of the SnO2 shell. Specifically, p-CuO/n-SnO2 core-shell NWs with a 24 nm thick SnO2 shell displayed a high sensitivity (Ra/Rg) of 2.42, whose rate of resistance change (i.e. 1.42) is 3 times higher than the pristine CuO NW sensor when detecting 50 ppm formaldehyde (HCHO) at 250 °C. The enhanced gas sensing performance could be attributed to the formation of p-n heterojunction which was revealed by specific band alignment and the heterojunction-depletion model. Besides, the well-structured p-CuO/n-SnO2 core-shell NWs achieved excellent selectivity for HCHO from commonly occurred reducing gases. In a word, such heterostructured p-CuO/n-SnO2 core-shell NW gas sensors demonstrate a feasible approach for enhanced sensitive and selective HCHO detection. © 2019 Elsevier B.V.
    view abstract10.1016/j.snb.2019.03.092
  • How water flips at charged titanium dioxide: An SFG-study on the water-TiO 2 interface
    Schlegel, S.J. and Hosseinpour, S. and Gebhard, M. and Devi, A. and Bonn, M. and Backus, E.H.G.
    Physical Chemistry Chemical Physics 21 (2019)
    Photocatalytic splitting of water into hydrogen and oxygen by utilizing sunlight and a photocatalyst is a promising way of generating clean energy. Here, we report a molecular-level study on heavy water (D 2 O) interacting with TiO 2 as a model photocatalyst. We employed the surface specific technique Sum-Frequency-Generation (SFG) spectroscopy to determine the nature of the hydrogen bonding environment and the orientation of interfacial water molecules using their OD-stretch vibrations as reporters. By examining solutions with various pD-values, we observe an intensity-minimum at around pD 5, corresponding to the balance of protonation and deprotonation of TiO 2 (point of zero charge). The majority of water molecules' deuterium atoms point away from the interface when the pD is below 5, and point towards the surface when the pD is higher than 5, with strong hydrogen bonds towards the surface. © the Owner Societies.
    view abstract10.1039/c9cp01131e
  • Low-Temperature Plasma-Enhanced Atomic Layer Deposition of Tin(IV) Oxide from a Functionalized Alkyl Precursor: Fabrication and Evaluation of SnO2-Based Thin-Film Transistor Devices
    Mai, L. and Zanders, D. and Subaşl, E. and Ciftyurek, E. and Hoppe, C. and Rogalla, D. and Gilbert, W. and Arcos, T.D.L. and Schierbaum, K. and Grundmeier, G. and Bock, C. and Devi, A.
    ACS Applied Materials and Interfaces (2019)
    A bottom-up process from precursor development for tin to plasma-enhanced atomic layer deposition (PEALD) for tin(IV) oxide and its successful implementation in a working thin-film transistor device is reported. PEALD of tin(IV) oxide thin films at low temperatures down to 60 °C employing tetrakis-(dimethylamino)propyl tin(IV) [Sn(DMP)4] and oxygen plasma is demonstrated. The liquid precursor has been synthesized and thoroughly characterized with thermogravimetric analyses, revealing sufficient volatility and long-term thermal stability. [Sn(DMP)4] demonstrates typical saturation behavior and constant growth rates of 0.27 or 0.42 Å cycle-1 at 150 and 60 °C, respectively, in PEALD experiments. Within the ALD regime, the films are smooth, uniform, and of high purity. On the basis of these promising features, the PEALD process was optimized wherein a 6 nm thick tin oxide channel material layer deposited at 60 °C was applied in bottom-contact bottom-gate thin-film transistors, showing a remarkable on/off ratio of 107 and field-effect mobility of μFE ≈ 12 cm2 V-1 s-1 for the as-deposited thin films deposited at such low temperatures. © 2019 American Chemical Society.
    view abstract10.1021/acsami.8b16443
  • Luminescent Nd 2 S 3 thin films: A new chemical vapour deposition route towards rare-earth sulphides
    Cwik, S. and Beer, S.M.J. and Schmidt, M. and Gerhardt, N.C. and De Los Arcos, T. and Rogalla, D. and Weßing, J. and Giner, I. and Hofmann, M. and Grundmeier, G. and Wieck, A.D. and Devi, A.
    Dalton Transactions 48 (2019)
    Neodymium sulphide (Nd 2 S 3 ) belongs to the exciting class of rare earth sulphides (RES) and is projected to have a serious potential in a wide spectrum of application either in pure form or as dopant. We demonstrate a facile and first growth of Nd 2 S 3 thin films via metal-organic chemical vapour deposition (MOCVD) at moderate process conditions using two new Nd precursors, namely tris(N,N′-diisopropyl-2-dimethylamido-guanidinato)Nd(iii) and tris(N,N′-diisopropyl-acetamidinato)Nd(iii). The promising thermal properties and suitable reactivity of both Nd precursors towards elemental sulphur enabled the formation of high purity γ-Nd 2 S 3 . While the process temperature for film growth ranged from 400 °C to 600 °C, the films were crystalline above 500 °C. We also demonstrate that the as-deposited γ-Nd 2 S 3 are luminescent, with the optical bandgap ranging from 2.3 eV to 2.5 eV. The process circumvents post-deposition treatments such as sulfurisation to fabricate the desired Nd 2 S 3 , which paves the way for large scale synthesis and also opens up new avenues for exploring the potential of this class of materials with properties for functional applications. © 2019 The Royal Society of Chemistry.
    view abstract10.1039/c8dt04317e
  • PEALD of HfO2 Thin Films: Precursor Tuning and a New Near-Ambient-Pressure XPS Approach to in Situ Examination of Thin-Film Surfaces Exposed to Reactive Gases
    Zanders, D. and Ciftyurek, E. and Subaşl, E. and Huster, N. and Bock, C. and Kostka, A. and Rogalla, D. and Schierbaum, K. and Devi, A.
    ACS Applied Materials and Interfaces 11 (2019)
    A bottom-up approach starting with the development of new Hf precursors for plasma-enhanced atomic layer deposition (PEALD) processes for HfO2 followed by in situ thin-film surface characterization of HfO2 upon exposure to reactive gases via near-ambient-pressure X-ray photoelectron spectroscopy (NAP-XPS) is reported. The stability of thin films under simulated operational conditions is assessed, and the successful implementation of HfO2 dielectric layers in metal-insulator-semiconductor (MIS) capacitors is demonstrated. Among the series of newly synthesized mono-guanidinato-tris-dialkyl-amido class of Hf precursors, one of them, namely, [Hf{2-(iPrN)2CNEtMe}(NEtMe)3], was representatively utilized with oxygen plasma, resulting in a highly promising low-temperature PEALD process at 60 °C. The new precursors were synthesized in the multigram scale and thoroughly characterized by thermogravimetric analyses, revealing high and tunable volatility reflected by appreciable vapor pressures and accompanied by thermal stability. Typical ALD growth characteristics in terms of linearity, saturation, and a broad ALD window with constant growth of 1.06 Å cycle-1 in the temperature range of 60-240 °C render this process very promising for fabricating high-purity smooth HfO2 layers. For the first time, NAP-XPS surface studies on selected HfO2 layers are reported upon exposure to reactive H2, O2, and H2O atmospheres at temperatures of up to 500 °C revealing remarkable stability against degradation. This can be attributed to the absence of surface defects and vacancies. On the basis of these promising results, PEALD-grown HfO2 films were used as dielectric layers in the MIS capacitor device fabrication exhibiting leakage current densities less than 10-7 A cm-2 at 2 MV cm-1 and permittivities of up to 13.9 without postannealing. © 2019 American Chemical Society.
    view abstract10.1021/acsami.9b07090
  • Potential Precursor Alternatives to the Pyrophoric Trimethylaluminium for the Atomic Layer Deposition of Aluminium Oxide
    Mai, L. and Boysen, N. and Zanders, D. and de los Arcos, T. and Mitschker, F. and Mallick, B. and Grundmeier, G. and Awakowicz, P. and Devi, A.
    Chemistry - A European Journal 25 (2019)
    New precursor chemistries for the atomic layer deposition (ALD) of aluminium oxide are reported as potential alternatives to the pyrophoric trimethylaluminium (TMA) which is to date a widely used Al precursor. Combining the high reactivity of aluminium alkyls employing the 3-(dimethylamino)propyl (DMP) ligand with thermally stable amide ligands yielded three new heteroleptic, non-pyrophoric compounds [Al(NMe2)2(DMP)] (2), [Al(NEt2)2(DMP)] (3, BDEADA) and [Al(NiPr2)2(DMP)] (4), which combine the properties of both ligand systems. The compounds were synthesized and thoroughly chemically characterized, showing the intramolecular stabilization of the DMP ligand as well as only reactive Al−C and Al−N bonds, which are the key factors for the thermal stability accompanied by a sufficient reactivity, both being crucial for ALD precursors. Upon rational variation of the amide alkyl chains, tunable and high evaporation rates accompanied by thermal stability were found, as revealed by thermal evaluation. In addition, a new and promising plasma enhanced (PE)ALD process using BDEADA and oxygen plasma in a wide temperature range from 60 to 220 °C is reported and compared to that of a modified variation of the TMA, namely [AlMe2(DMP)] (DMAD). The resulting Al2O3 layers are of high density, smooth, uniform, and of high purity. The applicability of the Al2O3 films as effective gas barrier layers (GBLs) was successfully demonstrated, considering that coating on polyethylene terephthalate (PET) substrates yielded very good oxygen transmission rates (OTR) with an improvement factor of 86 for a 15 nm film by using DMAD and a factor of 25 for a film thickness of just 5 nm by using BDEDA compared to bare PET substrates. All these film attributes are of the same quality as those obtained for the industrial precursor TMA, rendering the new precursors safe and potential alternatives to TMA. © 2019 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/chem.201900475
  • Up-converting ALD/MLD thin films with Yb3+, Er3+ in amorphous organic framework
    Tuomisto, M. and Giedraityte, Z. and Mai, L. and Devi, A. and Boiko, V. and Grzeszkiewicz, K. and Hreniak, D. and Karppinen, M. and Lastusaari, M.
    Journal of Luminescence 213 (2019)
    Near-infrared to green and red up-conversion is reported for a new type of hybrid (Yb,Er)-IR-806 films prepared using the atomic/molecular layer deposition (ALD/MLD)thin-film fabrication technology. These amorphous films can be realized in controllable nanothicknesses and they show an exceptionally wide absorption band in the near-infrared region. The films exhibit a highly local up-conversion excitation process, which suggests strong potential for use as e.g. temperature sensors with Ångström scale surface resolution. © 2019
    view abstract10.1016/j.jlumin.2019.05.028
  • Validation of a Terminally Amino Functionalized Tetra-Alkyl Sn(IV) Precursor in Metal–Organic Chemical Vapor Deposition of SnO2 Thin Films: Study of Film Growth Characteristics, Optical, and Electrical Properties
    Zanders, D. and Ciftyurek, E. and Hoppe, C. and de los Arcos, T. and Kostka, A. and Rogalla, D. and Grundmeier, G. and Schierbaum, K.D. and Devi, A.
    Advanced Materials Interfaces 6 (2019)
    Tin(IV) oxide is a promising semiconductor material with leading-edge properties toward chemical sensing and other applications. For the growth of its thin films, metal–organic chemical vapor deposition (MOCVD) routes are advantageous due to their excellent scalability and potential to tune processing temperatures by careful choice of the reactants. Herein, a new and highly efficient MOCVD process for the deposition of tin(IV) oxide thin films employing a terminally amino alkyl substituted tin(IV) tetra-alkyl compound is reported for the first time. The liquid precursor, tetrakis-[3-(N,N-dimethylamino)propyl] tin(IV), [Sn(DMP)4], is thermally characterized in terms of stability and vapor pressure, yielding highly pure, polycrystalline tin(IV) oxide thin films with tunable structural and morphological features in the presence of oxygen. Detailed X-ray photoelectron spectroscopy (XPS) analysis reveals the presence of oxygen vacancies and high amounts of chemisorbed oxygen species. Based on these promising features, the MOCVD process is optimized toward downscaling the thickness of tin(IV) oxide films from 25 to 50 nm to study the impact of incipient surface morphological changes occurring after initial thin-film formation on the electrical properties as investigated by van der Pauw (vdP) resistivity measurements. Optical bandgaps of thin films with varying thicknesses are estimated using ultraviolet–visible (UV–vis) spectroscopy. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/admi.201801540
  • A combinatorial approach to enhance barrier properties of thin films on polymers: Seeding and capping of PECVD thin films by PEALD
    Gebhard, M. and Mitschker, F. and Hoppe, C. and Aghaee, M. and Rogalla, D. and Creatore, M. and Grundmeier, G. and Awakowicz, P. and Devi, A.
    Plasma Processes and Polymers 15 (2018)
    A combinatorial approach to deposit gas barrier layers (GBLs) on polyethylene terephthalate (PET) by means of plasma-enhanced chemical vapor deposition (PECVD) and plasma-enhanced atomic layer deposition (PEALD) is presented. Thin films of SiOx and SiOxCyHz obtained from PECVD were grown either subsequently on a PEALD seeding layer (SiO2) or were capped by ultrathin PEALD films of Al2O3 or SiO2. To study the impact of PEALD layers on the overall GBL performance, PECVD coatings with high macro defect densities and low barrier efficiency with regard to the oxygen transmission rate (OTR) were chosen. PEALD seeding layers demonstrated the ability to influence the subsequent PECVD growth in terms of the lower macro defect density (9 macro-defects mm−2) and improved barrier performance (OTR = 0.8 cm3 m−2 day−1), while the PEALD capping-route produced GBLs free of macro-defects. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ppap.201700209
  • An N-Heterocyclic Carbene Based Silver Precursor for Plasma-Enhanced Spatial Atomic Layer Deposition of Silver Thin Films at Atmospheric Pressure
    Boysen, N. and Hasselmann, T. and Karle, S. and Rogalla, D. and Theirich, D. and Winter, M. and Riedl, T. and Devi, A.
    Angewandte Chemie - International Edition 57 (2018)
    A new N-heterocyclic carbene (NHC)-based silver amide compound, 1,3-di-tert-butyl-imidazolin-2-ylidene silver(I) 1,1,1-trimethyl-N-(trimethylsilyl)silanaminide [(NHC)Ag(hmds)] was synthesized and analyzed by single-crystal X-ray diffraction, 1H and 13C NMR spectroscopy, as well as EI mass spectrometry, and subsequently evaluated for its thermal characteristics. This new halogen- and phosphine-free Ag atomic layer deposition (ALD) precursor was tested successfully for silver thin film growth in atmospheric pressure plasma enhanced spatial (APP-ALD). High-purity conductive Ag thin films with a low sheet resistance of 0.9 Ω/sq (resistivity: 10−5 Ωcm) were deposited at 100 °C and characterized by X-ray photoelectron spectroscopy, scanning electron microscopy, optical transmittance, and Rutherford back-scattering techniques. The carbene-based Ag precursor and the new APP-ALD process are significant developments in the field of precursor chemistry as well as metal ALD processing. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/anie.201808586
  • Atomic Layer Deposition of Molybdenum and Tungsten Oxide Thin Films Using Heteroleptic Imido-Amidinato Precursors: Process Development, Film Characterization, and Gas Sensing Properties
    Mattinen, M. and Wree, J.-L. and Stegmann, N. and Ciftyurek, E. and Achhab, M.E. and King, P.J. and Mizohata, K. and Räisänen, J. and Schierbaum, K.D. and Devi, A. and Ritala, M. and Leskelä, M.
    Chemistry of Materials 30 (2018)
    Heteroleptic bis(tert-butylimido)bis(N,N′-diisopropylacetamidinato) compounds of molybdenum and tungsten are introduced as precursors for atomic layer deposition of tungsten and molybdenum oxide thin films using ozone as the oxygen source. Both precursors have similar thermal properties but exhibit different growth behaviors. With the molybdenum precursor, high growth rates up to 2 Å/cycle at 300 °C and extremely uniform films are obtained, although the surface reactions are not completely saturative. The corresponding tungsten precursor enables saturative film growth with a lower growth rate of 0.45 Å/cycle at 300 °C. Highly pure films of both metal oxides are deposited, and their phase as well as stoichiometry can be tuned by changing the deposition conditions. The WOx films crystallize as γ-WO3 at 300 °C and above, whereas the films deposited at lower temperatures are amorphous. Molybdenum oxide can be deposited as either amorphous (≤250 °C), crystalline suboxide (275 °C), a mixture of suboxide and α-MoO3 (300 °C), or pure α-MoO3 (≥325 °C) films. MoOx films are further characterized by synchrotron photoemission spectroscopy and temperature-dependent resistivity measurements. A suboxide MoOx film deposited at 275 °C is demonstrated to serve as an efficient hydrogen gas sensor at a low operating temperature of 120 °C. © 2018 American Chemical Society.
    view abstract10.1021/acs.chemmater.8b04129
  • Atomic Layer Deposition of Nickel on ZnO Nanowire Arrays for High-Performance Supercapacitors
    Ren, Q.-H. and Zhang, Y. and Lu, H.-L. and Wang, Y.-P. and Liu, W.-J. and Ji, X.-M. and Devi, A. and Jiang, A.-Q. and Zhang, D.W.
    ACS Applied Materials and Interfaces 10 (2018)
    A novel hybrid core-shell structure of ZnO nanowires (NWs)/Ni as a pseudocapacitor electrode was successfully fabricated by atomic layer deposition of a nickel shell, and its capacitive performance was systemically investigated. Transmission electron microscopy and X-ray photoelectron spectroscopy results indicated that the NiO was formed at the interface between ZnO and Ni where the Ni was oxidized by ZnO during the ALD of the Ni layer. Electrochemical measurement results revealed that the Ti/ZnO NWs/Ni (1500 cycles) electrode with a 30 nm thick Ni-NiO shell layer had the best supercapacitor properties including ultrahigh specific capacitance (∼2440 F g-1), good rate capability (80.5%) under high current charge-discharge conditions, and a relatively better cycling stability (86.7% of the initial value remained after 750 cycles at 10 A g-1). These attractive capacitive behaviors are mainly attributed to the unique core-shell structure and the combined effect of ZnO NW arrays as short charge transfer pathways for ion diffusion and electron transfer as well as conductive Ni serving as channel for the fast electron transport to Ti substrate. This high-performance Ti/ZnO NWs/Ni hybrid structure is expected to be one of a promising electrodes for high-performance supercapacitor applications. © 2017 American Chemical Society.
    view abstract10.1021/acsami.7b13392
  • Atomic/molecular layer deposition of Cu-organic thin films
    Hagen, D.J. and Mai, L. and Devi, A. and Sainio, J. and Karppinen, M.
    Dalton Transactions 47 (2018)
    The gas-phase atomic/molecular layer deposition (ALD/MLD) technique is strongly emerging as a viable approach to fabricate new exciting inorganic-organic hybrid thin-film materials. However, much less effort has been made to develop new precursors specifically intended for ALD/MLD; this applies to both the organic and inorganic precursors, and in the latter case in particular to transition metal precursors. Here we introduce copper bisdimethylaminopropoxide (Cu(dmap)2) as a promising transition metal precursor for ALD/MLD to be combined with a variety of organic precursors with different backbones and functional groups, i.e. hydroquinone (HQ), terephthalic acid (TPA), 4,4′-oxydianiline (ODA), p-phenylenediamine (PPDA) and 1,4-benzenedithiol (BDT). Hybrid Cu-organic thin films were obtained from all five organic precursors with appreciably high growth rates ranging from 1.0 to 2.6 Å per cycle. However, the Cu(dmap)2 + HQ process was found to yield hybrid Cu-organic films only at temperatures below 120 °C, while at higher temperatures metallic Cu films were obtained. The films were characterized by XRR, GIXRD, FTIR, Raman, XPS and UV-Vis spectroscopy. © The Royal Society of Chemistry.
    view abstract10.1039/C8DT03735C
  • CVD-grown copper tungstate thin films for solar water splitting
    Peeters, D. and Mendoza Reyes, O. and Mai, L. and Sadlo, A. and Cwik, S. and Rogalla, D. and Becker, H.-W. and Schütz, H.M. and Hirst, J. and Müller, S. and Friedrich, D. and Mitoraj, D. and Nagli, M. and Toroker, M.C. and Eichberger, R. and Beranek, R. and Devi, A.
    Journal of Materials Chemistry A 6 (2018)
    In this paper, a direct chemical vapor deposition (CVD) approach is applied for the first time to synthesize high quality copper oxide (CuO), copper tungstate (CuWO4) and tungsten oxide (WO3) on F:SnO2 (FTO) substrates for photocatalytic water splitting. Variation of process parameters enables us to tune the stoichiometry of the deposits to obtain stoichiometric, W-rich, and Cu-rich deposits. It is found that the presence of Cu in WO3 thin films reduces the bandgap and enhances the absorption properties of the material in the visible range. The photoelectrocatalytic performance of stoichiometric CuWO4 was found to be superior to that of WO3 oxide under frontside illumination when thin films were used. However, detailed photoelectrochemical investigations of both thin and thicker CuWO4 films reveal that the incorporation of copper also decreases the mobility of both electrons and holes, the latter being the performance-limiting factor. These results are in line with our first-principles calculations of the electronic structure of CuWO4. A charge carrier mobility and diffusion length of ∼6× 10-3 cm2 V-1 s-1 and 30 nm were determined by time-resolved microwave conductivity measurements, values comparable to those of undoped bismuth vanadate (BiVO4). Our findings establish new insights into the advantages and limits of CuWO4-based photoanodes, and suggest a possibility of using very thin CuWO4 films on top of highly absorbing semiconductors with optimal electronic properties. © The Royal Society of Chemistry 2018.
    view abstract10.1039/c7ta10759e
  • Designing Stability into Thermally Reactive Plumbylenes
    Bačić, G. and Zanders, D. and Mallick, B. and Devi, A. and Barry, S.T.
    Inorganic Chemistry 57 (2018)
    Lead analogues of N-heterocyclic carbenes (NHPbs) are the least understood members of this increasingly important class of compounds. Here we report the design, preparation, isolation, structure, volatility, and decomposition pathways of a novel aliphatic NHPb: rac-N 2,N 3-di-tert-butylbutane-2,3-diamido lead(II) (1Pb). The large steric bulk of the tert-butylamido moieties and rac-butane backbone successfully hinder redox decomposition pathways observed for diamidoethylene and -ethane backbone analogues, pushing the onset of thermal decomposition from below 0 °C to above 150 °C. With an exceptionally high vapor pressure of 1 Torr at 94 ± 2 °C and excellent thermal stability among Pb(II) complexes, 1Pb is a promising precursor for the chemical vapor deposition (CVD) and atomic layer deposition (ALD) of functional lead-containing materials. Copyright © 2018 American Chemical Society.
    view abstract10.1021/acs.inorgchem.8b00719
  • Direct Growth of MoS2 and WS2 Layers by Metal Organic Chemical Vapor Deposition
    Cwik, S. and Mitoraj, D. and Mendoza Reyes, O. and Rogalla, D. and Peeters, D. and Kim, J. and Schütz, H.M. and Bock, C. and Beranek, R. and Devi, A.
    Advanced Materials Interfaces 5 (2018)
    For the growth of 2D transition metal dichalcogenides, such as molybdenum (MoS2) and tungsten disulfides (WS2), metalorganic chemical vapor deposition (MOCVD) routes are favorable due to their superior scalability, the possibility to tune the processing temperatures by a proper choice of reactants thus avoiding the need for a postdeposition treatment. Herein, the first example of a promising MOCVD route for the direct fabrication of MoS2 and WS2 layers under moderate process conditions is reported. This straightforward route is successfully realized by the combination of metalorganic precursors of Mo or W bearing the amidinato ligand with just elemental sulfur. The formation of stoichiometric hexagonal 2H-MoS2 and 2H-WS2 is demonstrated which is confirmed by Raman, X-ray diffraction, and X-ray photoelectron spectroscopy studies. The deposited layers are evaluated for their electrocatalytic activity in hydrogen evolution reaction as a proof of principle for application in water splitting devices. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/admi.201800140
  • Fabrication of zinc-dicarboxylate- and zinc-pyrazolate-carboxylate-framework thin films through vapour-solid deposition
    Medishetty, R. and Zhang, Z. and Sadlo, A. and Cwik, S. and Peeters, D. and Henke, S. and Mangayarkarasi, N. and Devi, A.
    Dalton Transactions 47 (2018)
    Fabrication of three-dimensional metal-organic framework (MOF) thin films has been investigated for the first time through the conversion of a ZnO layer via a pure vapour-solid deposition reaction at ambient pressure. The fabrication of MOF thin films with a dicarboxylate linker, (DMA)2[Zn3(bdc)4] (1) (bdc = 1,4-benzenedicarboxylate), and a carboxy-pyrazolate linker, [Zn4O(dmcapz)6] (2) (dmcapz = 3,5-dimethyl-4-carboxypyrazole), involves the deposition of the linker and/or the preparation of a composite film preliminarily and its subsequent conversion into a MOF film using closed cell thermal treatment. Furthermore, it was possible to isolate thin films with a MOF-5 isotype structure grown along the [110] direction, using a carboxy-pyrazolate linker. This was achieved just by the direct reaction of the ZnO film and the organic linker vapors, employing a simple route that demonstrates the feasibility of MOF thin film fabrication using inexpensive routes at ambient pressure. © 2018 The Royal Society of Chemistry.
    view abstract10.1039/c8dt00352a
  • Manganese(II) Molecular Sources for Plasma-Assisted CVD of Mn Oxides and Fluorides: From Precursors to Growth Process
    Barreca, D. and Carraro, G. and Fois, E. and Gasparotto, A. and Gri, F. and Seraglia, R. and Wilken, M. and Venzo, A. and Devi, A. and Tabacchi, G. and Maccato, C.
    Journal of Physical Chemistry C 122 (2018)
    A viable route to manganese-based materials of high technological interest is plasma-assisted chemical vapor deposition (PA-CVD), offering various degrees of freedom for the growth of high-purity nanostructures from suitable precursors. In this regard, fluorinated β-diketonate diamine Mn(II) complexes of general formula Mn(dik)2·TMEDA [TMEDA = N,N,N′,N′-tetramethylethylenediamine; Hdik = 1,1,1,5,5,5-hexafluoro-2,4-pentanedione (Hhfa), or 1,1,1-trifluoro-2,4-pentanedione (Htfa)] represent a valuable option in the quest of candidate molecular sources for PA-CVD environments. In this work, we investigate and highlight the chemico-physical properties of these compounds of importance for their use in PA-CVD processes, through the use of a comprehensive experimental-theoretical investigation. Preliminary PA-CVD validation shows the possibility of varying the Mn oxidation state, as well as the system chemical composition from MnF2 to MnO2, by simple modulations of the reaction atmosphere, paving the way to a successful utilization of the target compounds in the growth of manganese-containing nanomaterials for different technological applications. © 2017 American Chemical Society.
    view abstract10.1021/acs.jpcc.7b10277
  • Molecular engineering of Ga-ketoiminates: Synthesis, structure and evaluation as precursors for the additive-free spin-coated deposition of gallium oxide thin films
    O'Donoghue, R. and Rahman, S. and Mallick, B. and Winter, M. and Rogalla, D. and Becker, H.-W. and Devi, A.
    New Journal of Chemistry 42 (2018)
    A series of new homo- and heteroleptic gallium ketoiminate compounds, namely, tris[4-[2-(ethoxyethyl)imino]-2-pentanone] gallium(iii) [Ga(eeki)3] [1], tris[4-[3-(methoxypropyl)imino]-2-pentanone] gallium(iii), [Ga(mpki)3] [2], tris[4-[3-(methoxyethyl)imino]-2-pentanone] gallium(iii), [Ga(meki)3] [3], dichloro[4-[(isopropyl)imino]-2-pentanone] gallium(iii) [Ga(ipki)Cl2] [4], bisdimethylamido[4-[(isopropyl)imino]-2-pentanone] gallium(iii) [Ga(ipki)(NMe2)2] [5] and chloro-(bis[4-[3-(ethoxypropyl)imino]-2-pentanone]) gallium(iii) [Ga(epki)2Cl] [6], was synthesised through molecular engineering. The literature known compound chloro-(bis[4-[(isopropyl)imino]-2-pentanone]) gallium(iii) [Ga(ipki)2Cl] [7] was synthesised for comparison. Confirmation of the successful formation and spectroscopic purity of the compounds was determined using nuclear magnetic resonance (NMR) spectroscopy, single crystal X-ray diffraction (XRD), electron ionisation mass spectrometry (EI-MS), and elemental analysis (EA). The thermal properties of the compounds were assessed with thermogravimetric (TG) analysis and revealed compound [4] was suitable for vapour phase deposition processes while the others displayed a decompositional behaviour favourable for solution based thin film deposition processes. The EI-MS fragmentation behaviour of compound [1], with its thermal properties, and excellent solubility in a wide variety of organic solvents, suggested that it was highly eligible to be applied for chemical solution deposition (CSD). Thus, compound [1] was applied for the spin-coating of Ga2O3 thin films without the need for additives or aging to stabilise the solution prior to processing. The as-deposited thin films were amorphous, while annealing under ambient conditions at higher temperatures (850-1000 °C) yielded β-gallium oxide as indicated by XRD. The morphology and composition were analysed by scanning electron microscopy (SEM) and Rutherford backscattering spectrometry (RBS) respectively, while the optical properties were determined using UV-vis spectroscopy and illustrated that films grown with a spin-cycle number <5 were highly transparent (>80%) in the visible range. © 2018 The Royal Society of Chemistry and the Centre National de la Recherche Scientifique.
    view abstract10.1039/c7nj04334a
  • PEALD of SiO2 and Al2O3 Thin Films on Polypropylene: Investigations of the Film Growth at the Interface, Stress, and Gas Barrier Properties of Dyads
    Gebhard, M. and Mai, L. and Banko, L. and Mitschker, F. and Hoppe, C. and Jaritz, M. and Kirchheim, D. and Zekorn, C. and De Los Arcos, T. and Grochla, D. and Dahlmann, R. and Grundmeier, G. and Awakowicz, P. and Ludwig, Al. and Devi, A.
    ACS Applied Materials and Interfaces 10 (2018)
    A study on the plasma-enhanced atomic layer deposition of amorphous inorganic oxides SiO2 and Al2O3 on polypropylene (PP) was carried out with respect to growth taking place at the interface of the polymer substrate and the thin film employing in situ quartz-crystal microbalance (QCM) experiments. A model layer of spin-coated PP (scPP) was deposited on QCM crystals prior to depositions to allow a transfer of findings from QCM studies to industrially applied PP foil. The influence of precursor choice (trimethylaluminum (TMA) vs [3-(dimethylamino)propyl]-dimethyl aluminum (DMAD)) and of plasma pretreatment on the monitored QCM response was investigated. Furthermore, dyads of SiO2/Al2O3, using different Al precursors for the Al2O3 thin-film deposition, were investigated regarding their barrier performance. Although the growth of SiO2 and Al2O3 from TMA on scPP is significantly hindered if no oxygen plasma pretreatment is applied to the scPP prior to depositions, the DMAD process was found to yield comparable Al2O3 growth directly on scPP similar to that found on a bare QCM crystal. From this, the interface formed between the Al2O3 and the PP substrate is suggested to be different for the two precursors TMA and DMAD due to different growth modes. Furthermore, the residual stress of the thin films influences the barrier properties of SiO2/Al2O3 dyads. Dyads composed of 5 nm Al2O3 (DMAD) + 5 nm SiO2 exhibit an oxygen transmission rate (OTR) of 57.4 cm3 m-2 day-1, which correlates with a barrier improvement factor of 24 against 5 when Al2O3 from TMA is applied. © 2018 American Chemical Society.
    view abstract10.1021/acsami.7b14916
  • Rational Development of Cobalt β-Ketoiminate Complexes: Alternative Precursors for Vapor-Phase Deposition of Spinel Cobalt Oxide Photoelectrodes
    Junge Puring, K. and Zywitzki, D. and Taffa, D.H. and Rogalla, D. and Winter, M. and Wark, M. and Devi, A.
    Inorganic Chemistry 57 (2018)
    A series of six cobalt ketoiminates, of which one was previously reported but not explored as a chemical vapor deposition (CVD) precursor, namely, bis(4-(isopropylamino)pent-3-en-2-onato)cobalt(II) ([Co(ipki)2], 1), bis(4-(2-methoxyethylamino)pent-3-en-2-onato)cobalt(II) ([Co(meki)2], 2), bis(4-(2-ethoxyethylamino)pent-3-en-2-onato)cobalt(II) ([Co(eeki)2], 3), bis(4-(3-methoxy-propylamino)pent-3-en-2-onato)cobalt(II) ([Co(mpki)2], 4), bis(4-(3-ethoxypropylamino)pent-3-en-2-onato)cobalt(II) ([Co(epki)2], 5), and bis(4-(3-isopropoxypropylamino)pent-3-en-2-onato)cobalt(II) ([Co(ippki)2], 6) were synthesized and thoroughly characterized. Single-crystal X-ray diffraction (XRD) studies on compounds 1-3 revealed a monomeric structure with distorted tetrahedral coordination geometry. Owing to the promising thermal properties, metalorganic CVD of CoOx was performed using compound 1 as a representative example. The thin films deposited on Si(100) consisted of the spinel-phase Co3O4 evidenced by XRD, Rutherford backscattering spectrometry/nuclear reaction analysis, and X-ray photoelectron spectroscopy. Photoelectrochemical water-splitting capabilities of spinel CoOx films grown on fluorine-doped tin oxide (FTO) and TiO2-coated FTO revealed that the films show p-type behavior with conduction band edge being estimated to -0.9 V versus reversible hydrogen electrode. With a thin TiO2 underlayer, the CoOx films exhibit photocurrents related to proton reduction under visible light. © 2018 American Chemical Society.
    view abstract10.1021/acs.inorgchem.8b00204
  • Synthesis of rare-earth metal and rare-earth metal-fluoride nanoparticles in ionic liquids and propylene carbonate
    Siebels, M. and Mai, L. and Schmolke, L. and Schütte, K. and Barthel, J. and Yue, J. and Thomas, J. and Smarsly, B.M. and Devi, A. and Fischer, R.A. and Janiak, C.
    Beilstein Journal of Nanotechnology 9 (2018)
    Decomposition of rare-earth tris(N, N'-diisopropyl-2-methylamidinato)metal(III) complexes [RE(MeC(N(iPr)2))3] (RE(amd)3; RE = Pr(III), Gd(III), Er(III)) and tris(2,2,6,6-tetramethyl-3,5-heptanedionato)europium(III) (Eu(dpm)3) induced by microwave heating in the ionic liquids (ILs) 1-butyl-3-methylimidazolium tetrafluoroborate ([BMIm][BF4]), 1-butyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([BMIm][NTf2]) and in propylene carbonate (PC) yield oxide-free rare-earth metal nanoparticles (RE-NPs) in [BMIm][NTf2] and PC for RE = Pr, Gd and Er or rare-earth metal-fluoride nanoparticles (REF3-NPs) in the fluoridedonating IL [BMIm][BF4] for RE = Pr, Eu, Gd and Er. The crystalline phases and the absence of significant oxide impurities in RE-NPs and REF3-NPs were verified by powder X-ray diffraction (PXRD), selected area electron diffraction (SAED) and highresolution X-ray photoelectron spectroscopy (XPS). The size distributions of the nanoparticles were determined by transmission electron microscopy (TEM) and high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) to an average diameter of (11 ± 6) to (38 ± 17) nm for the REF3-NPs from [BMIm][BF4]. The RE-NPs from [BMIm][NTf2] or PC showed diameters of (1.5 ± 0.5) to (5 ± 1) nm. The characterization was completed by energy-dispersive X-ray spectroscopy (EDX). © 2018 Siebels et al.
    view abstract10.3762/bjnano.9.180
  • Tailored β-Ketoiminato Complexes of Iron: Synthesis, Characterization, and Evaluation towards Solution-Based Deposition of Iron Oxide Thin Films
    Sadlo, A. and Beer, S.M.J. and Rahman, S. and Grafen, M. and Rogalla, D. and Winter, M. and Ostendorf, A. and Devi, A.
    European Journal of Inorganic Chemistry 2018 (2018)
    The synthesis and characterization of five new and closely related homoleptic iron(II) β-ketoiminate complexes is reported. Molecular structures of compounds 1, 2, and 5 were determined by single-crystal XRD, which revealed monomeric four- and sixfold coordination, depending on the functionalized side chain. The stepwise elimination of the ligand from the complex observed by thermogravimetric analysis and the stability in solution are encouraging features for solution-based processing of hematite thin films. As a representative example, compound 1 was successfully employed in a straightforward spin-coating process. The fabricated iron oxide films were characterized in terms of their structure and phase by XRD and Raman spectroscopy, morphology by SEM, and composition by Rutherford backscattering spectrometry accompanied by nuclear reaction analysis, which revealed the formation of crystalline and stoichiometric α-Fe2O3 films. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/ejic.201800094
  • Ultrasound-mediated deposition and cytocompatibility of apatite-like coatings on magnesium alloys
    Liu, C.-N. and Böke, F. and Gebhard, M. and Devi, A. and Fischer, H. and Keller, A. and Grundmeier, G.
    Surface and Coatings Technology 345 (2018)
    A novel ultrasound-based approach for the deposition of an octacalcium phosphate (OCP) and nanocrystalline apatite (ncAp)-based coating on the magnesium alloy AZ31 as a biodegradable implant material is established. The studies consider both the structural analysis and the resulting corrosion protection and correlate the related findings with cytocompatibility. The ultrasound-based approach is shown to lead to the deposition of an OCP and ncAp-based coating with a trilayer structure on AZ31. The coatings consist of two inner compact layers and an open porous top layer. The formation of the functional OCP/ncAp coating and deposition on the AZ31 surface take place within minutes in a single processing step and do not require any pre-heating, mediators or post-deposition treatment for the achievement of corrosion protection and cytocompatibility. The obtained coatings were characterized by means of FTIR and Raman spectroscopy as well as FE-SEM and X-ray crystallography. Electrochemical impedance spectroscopy revealed corrosion inhibition especially in the initial phase after immersion in physiological electrolyte. Moreover, this corrosion protection resulted in a strongly improved cytocompatibility of AZ31 as verified by in vitro viability tests using human mesenchymal stromal cells. © 2018 Elsevier B.V.
    view abstract10.1016/j.surfcoat.2018.03.100
  • Water assisted atomic layer deposition of yttrium oxide using tris(N,N0-diisopropyl-2-dimethylamido-guanidinato) yttrium(III): Process development, film characterization and functional properties†
    Mai, L. and Boysen, N. and Subaşı, E. and De Los Arcos, T. and Rogalla, D. and Grundmeier, G. and Bock, C. and Lu, H.-L. and Devi, A.
    RSC Advances 8 (2018)
    We report a new atomic layer deposition (ALD) process for yttrium oxide (Y2O3) thin films using tris(N,N0-diisopropyl-2-dimethylamido-guanidinato) yttrium(III) [Y(DPDMG)3] which possesses an optimal reactivity towards water that enabled the growth of high quality thin films. Saturative behavior of the precursor and a constant growth rate of 1.1 Å per cycle confirm the characteristic self-limiting ALD growth in a temperature range from 175 C to 250 C. The polycrystalline films in the cubic phase are uniform and smooth with a root mean squared (RMS) roughness of 0.55 nm, while the O/Y ratio of 2.0 reveal oxygen rich layers with low carbon contaminations of around 2 at%. Optical properties determined via UV/Vis measurements revealed the direct optical band gap of 5.56 eV. The valuable intrinsic properties such as a high dielectric constant make Y2O3 a promising candidate in microelectronic applications. Thus the electrical characteristics of the ALD grown layers embedded in a metal insulator semiconductor (MIS) capacitor structure were determined which resulted in a dielectric permittivity of 11, low leakage current density (z107 A cm2 at 2 MV cm1) and high electrical breakdown fields (4.0–7.5 MV cm1). These promising results demonstrate the potential of the new and simple Y2O3 ALD process for gate oxide applications. © The Royal Society of Chemistry 2018.
    view abstract10.1039/c7ra13417g
  • Analysis of dispersive interactions at polymer/TiAlN interfaces by means of dynamic force spectroscopy
    Wiesing, M. and De Los Arcos, T. and Gebhard, M. and Devi, A. and Grundmeier, G.
    Physical Chemistry Chemical Physics 20 (2017)
    The structural and electronic origins of the interactions between polycarbonate and sputter deposited TiAlN were analysed using a combined electron and force spectroscopic approach. Interaction forces were measured by means of dynamic force spectroscopy and the surface polarizability was analysed by X-ray photoelectron valence band spectroscopy. It could be shown that the adhesive interactions between polycarbonate and TiAlN are governed by van der Waals forces. Different surface cleansing and oxidizing treatments were investigated and the effect of the surface chemistry on the force interactions was analysed. Intense surface oxidation resulted in a decreased adhesion force by a factor of two due to the formation of a 2 nm thick Ti0.21Al0.45O surface oxide layer. The origin of the residual adhesion forces caused by the mixed Ti0.21Al0.45O surface oxide was clarified by considering the non-retarded Hamaker coefficients as calculated by Lifshitz theory, based on optical data from Reflection Electron Energy Loss Spectroscopy. This disclosed increased dispersion forces of Ti0.21Al0.45O due to the presence of Ti(iv) ions and related Ti 3d band optical transitions. © 2018 the Owner Societies.
    view abstract10.1039/c7cp05373h
  • Atomic/molecular layer deposition of hybrid inorganic–organic thin films from erbium guanidinate precursor
    Mai, L. and Giedraityte, Z. and Schmidt, M. and Rogalla, D. and Scholz, S. and Wieck, A.D. and Devi, A. and Karppinen, M.
    Journal of Materials Science 52 (2017)
    Luminescent erbium-based inorganic–organic hybrid materials play an important role in many frontier nano-sized applications, such as amplifiers, detectors and OLEDs. Here, we demonstrate the possibility to fabricate high-quality thin films comprising both erbium and an appropriate organic molecule as a luminescence sensitizer utilizing the combined atomic layer deposition and molecular layer deposition (ALD/MLD) technique. We employ tris(N,N′-diisopropyl-2-dimethylamido guanidinato)erbium(III) [Er(DPDMG)3] together with 3,5-pyridine dicarboxylic acid as precursors. With the appreciably high film deposition rate achieved (6.4 Å cycle−1), the guanidinate precursor indeed appears as an interesting new addition to the ALD/MLD precursor variety toward novel materials. Our erbium–organic thin films showed highly promising UV absorption properties and a photoluminescence at 1535 nm for a 325-nm excitation, relevant to possible future luminescence applications. © 2017 Springer Science+Business Media New York
    view abstract10.1007/s10853-017-0855-6
  • Effects of Post Annealing Treatments on the Interfacial Chemical Properties and Band Alignment of AlN/Si Structure Prepared by Atomic Layer Deposition
    Sun, Long and Lu, Hong-Liang and Chen, Hong-Yan and Wang, Tao and Ji, Xin-Ming and Liu, Wen-Jun and Zhao, Dongxu and Devi, Anjana and Ding, Shi-Jin and Zhang, David Wei
    Nanoscale Research Letters 12 (2017)
    The influences of annealing temperature in N-2 atmosphere on interfacial chemical properties and band alignment of AlN/Si structure deposited by atomic layer deposition have been investigated based on x-ray photoelectron spectroscopy and spectroscopic ellipsometry. It is found that more oxygen incorporated into AlN film with the increasing annealing temperature, resulting from a little residual H2O in N-2 atmosphere reacting with AlN film during the annealing treatment. Accordingly, the Si-N bonding at the interface gradually transforms to Si-O bonding with the increasing temperature due to the diffusion of oxygen from AlN film to the Si substrate. Specially, the Si-O-AI bonding state can be detected in the 900 degrees C-annealed sample. Furthermore, it is determined that the band gap and valence band offset increase with increasing annealing temperature.
    view abstract10.1186/s11671-016-1822-x
  • Influence of PE-CVD and PE-ALD on defect formation in permeation barrier films on PET and correlation to atomic oxygen fluence
    Mitschker, F. and Steves, S. and Gebhard, M. and Rudolph, M. and Schücke, L. and Kirchheim, D. and Jaritz, M. and Brochhagen, M. and Hoppe, C. and Dahlmann, R. and Böke, M. and Benedikt, J. and Giner, I. and De los Arcos, T. and Hopmann, C. and Grundmeier, G. and Devi, A. and Awakowicz, P.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa6e28
  • Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition
    O'Donoghue, R. and Rechmann, J. and Aghaee, M. and Rogalla, D. and Becker, H.-W. and Creatore, M. and Wieck, A.D. and Devi, A.
    Dalton Transactions 46 (2017)
    Herein we describe an efficient low temperature (60-160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant improvement on the growth rate and deposition temperature when compared to former Ga2O3 processes. The process yielded the second highest growth rates (1.5 Å per cycle) in terms of Ga2O3 ALD and the lowest temperature to date for the ALD growth of Ga2O3 and typical ALD characteristics were determined. From in situ quartz crystal microbalance (QCM) studies and ex situ ellipsometry measurements, it was deduced that the process is initially substrate-inhibited. Complementary analytical techniques were employed to investigate the crystallinity (grazing-incidence X-ray diffraction), composition (Rutherford backscattering analysis/nuclear reaction analysis/X-ray photoelectron spectroscopy), morphology (X-ray reflectivity/atomic force microscopy) which revealed the formation of amorphous, homogeneous and nearly stoichiometric Ga2O3 thin films of high purity (carbon and nitrogen <2 at.%) under optimised process conditions. Tauc plots obtained via UV-Vis spectroscopy yielded a band gap of 4.9 eV and the transmittance values were more than 80%. Upon annealing at 1000 °C, the transformation to oxygen rich polycrystalline β-gallium oxide took place, which also resulted in the densification and roughening of the layer, accompanied by a slight reduction in the band gap. This work outlines a fast and efficient method for the low temperature ALD growth of Ga2O3 thin films and provides the means to deposit Ga2O3 upon thermally sensitive polymers like polyethylene terephthalate. © 2017 The Royal Society of Chemistry.
    view abstract10.1039/c7dt03427j
  • Low-Temperature Atomic Layer Deposition of Cobalt Oxide as an Effective Catalyst for Photoelectrochemical Water-Splitting Devices
    Kim, J. and Iivonen, T. and Hämäläinen, J. and Kemell, M. and Meinander, K. and Mizohata, K. and Wang, L. and Räisänen, J. and Beranek, R. and Leskelä, M. and Devi, A.
    Chemistry of Materials 29 (2017)
    We have developed a low-temperature atomic layer deposition (ALD) process for depositing crystalline and phase pure spinel cobalt oxide (Co3O4) films at 120 °C using [Co(tBu2DAD)2] and ozone as coreagent. X-ray diffraction, UV-vis spectroscopy, atomic force microscopy, field emission scanning electron microscopy, X-ray photoelectron spectroscopy, and time-of-flight elastic recoil detection analysis were performed to characterize the structure and properties of the films. The as-deposited Co3O4 films are crystalline with a low amount of impurities (<2% C and <5% H) despite low deposition temperatures. Deposition of Co3O4 onto thin TiO2 photoanodes (100 nm) for water oxidation resulted in 30% improvement of photocurrent (after 10 ALD cycles yielding small Co3O4 particles) as compared to pristine TiO2 films), and exhibited no detrimental effects on photocurrent response up to 300 deposition cycles (approximately 35 nm thick films), demonstrating the applicability of the developed ALD process for deposition of effective catalyst particles and layers in photoelectrochemical water-splitting devices. © 2017 American Chemical Society.
    view abstract10.1021/acs.chemmater.6b05346
  • Molecular Engineering of MnII Diamine Diketonate Precursors for the Vapor Deposition of Manganese Oxide Nanostructures
    Maccato, C. and Bigiani, L. and Carraro, G. and Gasparotto, A. and Seraglia, R. and Kim, J. and Devi, A. and Tabacchi, G. and Fois, E. and Pace, G. and Di Noto, V. and Barreca, D.
    Chemistry - A European Journal 23 (2017)
    Molecular engineering of manganese(II) diamine diketonate precursors is a key issue for their use in the vapor deposition of manganese oxide materials. Herein, two closely related β-diketonate diamine MnII adducts with different fluorine contents in the diketonate ligands are examined. The target compounds were synthesized by a simple procedure and, for the first time, thoroughly characterized by a joint experimental–theoretical approach, to understand the influence of the ligand on their structures, electronic properties, thermal behavior, and reactivity. The target compounds are monomeric and exhibit a pseudo-octahedral coordination of the MnII centers, with differences in their structure and fragmentation processes related to the ligand nature. Both complexes can be readily vaporized without premature side decompositions, a favorable feature for their use as precursors for chemical vapor deposition (CVD) or atomic layer deposition applications. Preliminary CVD experiments at moderate growth temperatures enabled the fabrication of high-purity, single-phase Mn3O4 nanosystems with tailored morphology, which hold great promise for various technological applications. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/chem.201703423
  • Nanostructured Fe2O3 Processing via Water-Assisted ALD and Low-Temperature CVD from a Versatile Iron Ketoiminate Precursor
    Peeters, D. and Sadlo, A. and Lowjaga, K. and Mendoza Reyes, O. and Wang, L. and Mai, L. and Gebhard, M. and Rogalla, D. and Becker, H.-W. and Giner, I. and Grundmeier, G. and Mitoraj, D. and Grafen, M. and Ostendorf, A. and Beranek, R. and Devi, A.
    Advanced Materials Interfaces (2017)
    Vapor phase deposited iron oxide nanostructures are promising for fabrication of solid state chemical sensors, photoelectrodes for solar water splitting, batteries, and logic devices. The deposition of iron oxide via chemical vapor deposition (CVD) or atomic layer deposition (ALD) under mild conditions necessitates a precursor that comprises good volatility, stability, and reactivity. Here, a versatile iron precursor, namely [bis(N-isopropylketoiminate) iron(II)], which possesses ideal characteristics both for low-temperature CVD and water-assisted ALD processes, is reported. The films are thoroughly investigated toward phase, composition, and morphology. As-deposited ALD grown Fe2O3 layers are amorphous, while the CVD process in the presence of oxygen leads to polycrystalline hematite layers. The nanostructured iron oxide grown via CVD consists of nanoplatelets that are appealing for photoelectrochemical applications. Preliminary tests of the photoelectrocatalytic activity of CVD-grown Fe2O3 layers show photocurrent densities up to 0.3 mA cm-2 at 1.2 V versus reversible hydrogen electrode (RHE) and 1.2 mA cm-2 at 1.6 V versus RHE under simulated sunlight (1 sun). Surface modification by cobalt oxyhydroxide (Co-Pi) co-catalyst is found to have a highly beneficial effect on photocurrent, leading to maximum monochromatic quantum efficiencies of 10% at 400 nm and 4% at 500 nm at 1.5 V versus RHE. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/admi.201700155
  • New amidinate complexes of indium(III): Promising CVD precursors for transparent and conductive In2O3 thin films
    Gebhard, M. and Hellwig, M. and Kroll, A. and Rogalla, D. and Winter, M. and Mallick, B. and Ludwig, Ar. and Wiesing, M. and Wieck, A.D. and Grundmeier, G. and Devi, A.
    Dalton Transactions 46 (2017)
    For the first time, synthesis of two new amidinate-ligand comprising heteroleptic indium complexes, namely [InCl(amd)2] (1) and [InMe(amd)2] (2), via salt-metathesis and their detailed characterization is reported. For comparison, the earlier reported homoleptic tris-amidinate [In(amd)3] (3) was also synthesized and analyzed in detail especially with respect to the thermal properties and molecular crystal structure analysis which are reported here for the first time. From nuclear magnetic resonance spectroscopy (NMR) and single-crystal X-ray diffraction (XRD), all three compounds were found to be monomeric with C2 (compound 1 and 2) and C3 symmetry (compound 3). Both halide-free compounds 2 and 3 were evaluated regarding their thermal properties using temperature-dependent 1H-NMR, thermogravimetric analysis (TGA) and iso-TGA, revealing suitable volatility and thermal stability for their application as potential precursors for chemical vapor phase thin film deposition methods. Indeed, metalorganic chemical vapor deposition (MOCVD) experiments over a broad temperature range (400 °C-700 °C) revealed the suitability of these two compounds to fabricate In2O3 thin films in the presence of oxygen on Si, thermally grown SiO2 and fused silica substrates. The as-deposited thin films were characterized in terms of their crystallinity via X-ray diffraction (XRD), morphology by scanning electron microscopy (SEM) and composition through complementary techniques such as Rutherford-backscattering spectrometry (RBS) in combination with nuclear reaction analysis (NRA) and X-ray photoelectron spectroscopy (XPS). From UV/Vis spectroscopy, the deposited In2O3 thin films on fused silica substrates were found to be highly transparent (T > 95% at 560 nm, compound 3). In addition, Hall measurements revealed high charge carrier densities of 1.8 × 1020 cm-3 (2) and 6.5 × 1019 cm-3 (3) with a Hall-mobility of 48 cm2 V-1 s-1 (2) and 74 cm2 V-1 s-1 (3) for the respective thin films, rendering the obtained thin films applicable as a transparent conducting oxide that could be suitable for optoelectronic applications. © 2017 The Royal Society of Chemistry.
    view abstract10.1039/c7dt01280b
  • Photoactive Zinc Ferrites Fabricated via Conventional CVD Approach
    Peeters, D. and Taffa, D.H. and Kerrigan, M.M. and Ney, A. and Jöns, N. and Rogalla, D. and Cwik, S. and Becker, H.-W. and Grafen, M. and Ostendorf, A. and Winter, C.H. and Chakraborty, S. and Wark, M. and Devi, A.
    ACS Sustainable Chemistry and Engineering 5 (2017)
    Owing to its narrow band gap and promising magnetic and photocatalytic properties, thin films of zinc ferrite (ZFO, ZnFe2O4) are appealing for fabrication of devices in magnetic recording media and photoelectrochemical cells. Herein we report for the first time the fabrication of photactive zinc ferrites via a solvent free, conventional CVD approach, and the resulting ZFO layers show promise as a photocatalyst in PEC water-splitting. For large scale applications, chemical vapor deposition (CVD) routes are appealing for thin film deposition; however, very little is known about ZFO synthesis following CVD processes. The challenge in precisely controlling the composition for multicomponent material systems, such as ZFO, via conventional thermal CVD is an issue that is caused mainly by the mismatch in thermal properties of the precursors. The approach of using two different classes of precursors for zinc and iron with a close match in thermal windows led to the formation of polycrystalline spinel type ZFO. Under the optimized process conditions, it was possible to fabricate solely ZFO in the desired phase. This work demonstrates the potential of employing CVD to obtain photoactive ternary material systems in the right composition. For the first time, the application of CVD grown ZFO films for photoelectrochemical applications is being demonstrated, showing a direct band gap of 2.3 eV and exhibiting activity for visible light driven photoelectrochemical water splitting. © 2017 American Chemical Society.
    view abstract10.1021/acssuschemeng.6b02233
  • Recommended reading list of early publications on atomic layer deposition-Outcome of the "Virtual Project on the History of ALD"
    Ahvenniemi, Esko and Akbashev, Andrew R. and Ali, Saima and Bechelany, Mikhael and Berdova, Maria and Boyadjiev, Stefan and Cameron, David C. and Chen, Rong and Chubarov, Mikhail and Cremers, Veronique and Devi, Anjana and Drozd, Viktor and Elnikova, Liliya and Gottardi, Gloria and Grigoras, Kestutis and Hausmann, Dennis M. and Hwang, Cheol Seong and Jen, Shih-Hui and Kallio, Tanja and Kanervo, Jaana and Khmelnitskiy, Ivan and Kim, Do Han and Klibanov, Lev and Koshtyal, Yury and Krause, A. Outi I. and Kuhs, Jakob and Kaerkkaenen, Irina and Kaariainen, Marja-Leena and Kaariainen, Tommi and Lamagna, Luca and Lapicki, Adam A. and Leskela, Markku and Lipsanen, Harri and Lyytinen, Jussi and Malkov, Anatoly and Malygin, Anatoly and Mennad, Abdelkader and Militzer, Christian and Molarius, Jyrki and Norek, Malgorzata and Ozgit-Akgun, Cagla and Panov, Mikhail and Pedersen, Henrik and Piallat, Fabien and Popov, Georgi and Puurunen, Riikka L. and Rampelberg, Geert and Ras, Robin H. A. and Rauwel, Erwan and Roozeboom, Fred and Sajavaara, Timo and Salami, Hossein and Savin, Hele and Schneider, Nathanaelle and Seidel, Thomas E. and Sundqvist, Jonas and Suyatin, Dmitry B. and Torndahl, Tobias and van Ommen, J. Ruud and Wiemer, Claudia and Ylivaara, Oili M. E. and Yurkevich, Oksana
    Journal of Vacuum Science & Technology A 35 (2017)
    Atomic layer deposition (ALD), a gas-phase thin film deposition technique based on repeated, self-terminating gas-solid reactions, has become the method of choice in semiconductor manufacturing and many other technological areas for depositing thin conformal inorganic material layers for various applications. ALD has been discovered and developed independently, at least twice, under different names: atomic layer epitaxy (ALE) and molecular layering. ALE, dating back to 1974 in Finland, has been commonly known as the origin of ALD, while work done since the 1960s in the Soviet Union under the name "molecular layering" (and sometimes other names) has remained much less known. The virtual project on the history of ALD (VPHA) is a volunteer-based effort with open participation, set up to make the early days of ALD more transparent. In VPHA, started in July 2013, the target is to list, read and comment on all early ALD academic and patent literature up to 1986. VPHA has resulted in two essays and several presentations at international conferences. This paper, based on a poster presentation at the 16th International Conference on Atomic Layer Deposition in Dublin, Ireland, 2016, presents a recommended reading list of early ALD publications, created collectively by the VPHA participants through voting. The list contains 22 publications from Finland, Japan, Soviet Union, United Kingdom, and United States. Up to now, a balanced overview regarding the early history of ALD has been missing; the current list is an attempt to remedy this deficiency. (C) 2016 Author(s).
    view abstract10.1116/1.4971389
  • Review Article: Recommended reading list of early publications on atomic layer deposition - Outcome of the "virtual Project on the History of ALD"
    Ahvenniemi, E. and Akbashev, A.R. and Ali, S. and Bechelany, M. and Berdova, M. and Boyadjiev, S. and Cameron, D.C. and Chen, R. and Chubarov, M. and Cremers, V. and Devi, A. and Drozd, V. and Elnikova, L. and Gottardi, G. and Grigoras, K. and Hausmann, D.M. and Hwang, C.S. and Jen, S.-H. and Kallio, T. and Kanervo, J. and Khmelnitskiy, I. and Kim, D.H. and Klibanov, L. and Koshtyal, Y. and Krause, A.O.I. and Kuhs, J. and Kärkkänen, I. and Kääriäinen, M.-L. and Kääriäinen, T. and Lamagna, L. and Łapicki, A.A. and Leskelä, M. and Lipsanen, H. and Lyytinen, J. and Malkov, A. and Malygin, A. and Mennad, A. and Militzer, C. and Molarius, J. and Norek, M. and Özgit-Akgün, Ç. and Panov, M. and Pedersen, H. and Piallat, F. and Popov, G. and Puurunen, R.L. and Rampelberg, G. and Ras, R.H.A. and Rauwel, E. and Roozeboom, F. and Sajavaara, T. and Salami, H. and Savin, H. and Schneider, N. and Seidel, T.E. and Sundqvist, J. and Suyatin, D.B. and Törndahl, T. and Van Ommen, J.R. and Wiemer, C. and Ylivaara, O.M.E. and Yurkevich, O.
    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 35 (2017)
    Atomic layer deposition (ALD), a gas-phase thin film deposition technique based on repeated, self-terminating gas-solid reactions, has become the method of choice in semiconductor manufacturing and many other technological areas for depositing thin conformal inorganic material layers for various applications. ALD has been discovered and developed independently, at least twice, under different names: atomic layer epitaxy (ALE) and molecular layering. ALE, dating back to 1974 in Finland, has been commonly known as the origin of ALD, while work done since the 1960s in the Soviet Union under the name "molecular layering" (and sometimes other names) has remained much less known. The virtual project on the history of ALD (VPHA) is a volunteer-based effort with open participation, set up to make the early days of ALD more transparent. In VPHA, started in July 2013, the target is to list, read and comment on all early ALD academic and patent literature up to 1986. VPHA has resulted in two essays and several presentations at international conferences. This paper, based on a poster presentation at the 16th International Conference on Atomic Layer Deposition in Dublin, Ireland, 2016, presents a recommended reading list of early ALD publications, created collectively by the VPHA participants through voting. The list contains 22 publications from Finland, Japan, Soviet Union, United Kingdom, and United States. Up to now, a balanced overview regarding the early history of ALD has been missing; the current list is an attempt to remedy this deficiency. © 2016 Author(s).
    view abstract10.1116/1.4971389
  • Synthesis and evaluation of new copper ketoiminate precursors for a facile and additive-free solution-based approach to nanoscale copper oxide thin films
    Karle, Sarah and Rogalla, Detlef and Ludwig, Arne and Becker, Hans-Werner and Wieck, Andreas Dirk and Grafen, Markus and Ostendorf, Andreas and Devi, Anjana
    Dalton Transactions 46 (2017)
    Novel copper ketoiminate compounds were synthesized and for the first time applied for additive-free solution-based deposition of nanoscale copper oxide thin films. The two closely related compounds, namely the bis[4-(2-ethoxyethyl-imino)-3-pentanonato] copper, [Cu(EEKI)(2)], and bis[4-(3-methoxypropylimino)- 3-pentanonato] copper, [Cu(MPKI)(2)], were characterized by means of elemental and thermogravimetric analysis (TGA), as well as electron impact mass spectrometry (EI-MS). The advantages of these compounds are that they are liquid and possess excellent solubility in common organic solvents in addition to an optimum reactivity towards ambient moisture that enables a facile solution-based approach to nanoscale copper oxide thin films. Moreover, no additives or aging is needed to stabilize the solution processing of the copper oxide layers. [Cu(MPKI)(2)] was tested in detail for the deposition of copper oxide thin films by spin coating. Upon one-step annealing, high-quality, uniform, crystalline copper oxide thin films were deposited on Si, SiO2, as well as on quartz substrates. Structural, morphological and compositional characteristics of the copper oxide nanostructures were investigated in detail by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), and a combined analysis using Rutherford backscattering spectroscopy (RBS) and nuclear reaction analysis (NRA). It was possible to control the copper oxide phases (CuO and Cu2O) by systematic tuning of the post-deposition annealing conditions. The functional properties in terms of optical band gap were investigated using UV/Vis spectroscopy, while the transport properties, such as resistivity, mobility and carrier concentration were analyzed employing Hall measurements, which confirmed the p-type conductivity of the copper oxide layers.
    view abstract10.1039/c6dt04399b
  • Temperature-dependent transport mechanisms through PE-CVD coatings: Comparison of oxygen and water vapour
    Kirchheim, D. and Wilski, S. and Jaritz, M. and Mitschker, F. and Gebhard, M. and Brochhagen, M. and Böe, M. and Benedikt, J. and Awakowicz, P. and Devi, A. and Hopmann, C. and Dahlmann, R.
    Journal of Physics D: Applied Physics 50 (2017)
    When it comes to thin coatings such as plasma-enhanced chemical vapour deposition or plasma-enhanced atomic layer deposition coatings on substrates of polymeric material, existing models often describe transport through these thin coatings as mainly driven by transport through defects of different sizes. However, temperature-dependent measurements of permeation could not confirm this hypothesis and instead gaseous transport through these thin coatings was found to more likely to occur through the molecular structure. This paper correlates existing transport models with data from oxygen transmission experiments and puts recent investigations for water vapour transmission mechanisms into context for a better understanding of gaseous transport through thin coatings. © 2017 IOP Publishing Ltd.
    view abstract10.1088/1361-6463/aa80fd
  • Transport mechanisms through PE-CVD coatings: Influence of temperature, coating properties and defects on permeation of water vapour
    Kirchheim, D. and Jaritz, M. and Mitschker, F. and Gebhard, M. and Brochhagen, M. and Hopmann, C. and Böke, M. and Devi, A. and Awakowicz, P. and Dahlmann, R.
    Journal of Physics D: Applied Physics 50 (2017)
    view abstract10.1088/1361-6463/aa511c
  • Unearthing [3-(Dimethylamino)propyl]aluminium(III) Complexes as Novel Atomic Layer Deposition (ALD) Precursors for Al2O3: Synthesis, Characterization and ALD Process Development
    Mai, L. and Gebhard, M. and de los Arcos, T. and Giner, I. and Mitschker, F. and Winter, M. and Parala, H. and Awakowicz, P. and Grundmeier, G. and Devi, A.
    Chemistry - A European Journal 23 (2017)
    Identification and synthesis of intramolecularly donor-stabilized aluminium(III) complexes, which contain a 3-(dimethylamino)propyl (DMP) ligand, as novel atomic layer deposition (ALD) precursors has enabled the development of new and promising ALD processes for Al2O3 thin films at low temperatures. Key for this promising outcome is the nature of the ligand combination that leads to heteroleptic Al complexes encompassing optimal volatility, thermal stability and reactivity. The first ever example of the application of this family of Al precursors for ALD is reported here. The process shows typical ALD like growth characteristics yielding homogeneous, smooth and high purity Al2O3 thin films that are comparable to Al2O3 layers grown by well-established, but highly pyrophoric, trimethylaluminium (TMA)-based ALD processes. This is a significant development based on the fact that these compounds are non-pyrophoric in nature and therefore should be considered as an alternative to the industrial TMA-based Al2O3 ALD process used in many technological fields of application. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim
    view abstract10.1002/chem.201702939
  • An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor
    Gebhard, M. and Mitschker, F. and Wiesing, M. and Giner, I. and Torun, B. and De Los Arcos, T. and Awakowicz, P. and Grundmeier, G. and Devi, A.
    Journal of Materials Chemistry C 4 (2016)
    An efficient plasma-enhanced atomic layer deposition (PE-ALD) process was developed for TiO2 thin films of high quality, using a new Ti-precursor, namely tris(dimethylamido)-(dimethylamino-2-propanolato)titanium(iv) (TDMADT). The five-coordinated titanium complex is volatile, thermally stable and reactive, making it a potential precursor for ALD and PE-ALD processes. Process optimization was performed with respect to plasma pulse length and reactive gas flow rate. Besides an ALD window, the application of the new compound was investigated using in situ quartz-crystal microbalance (QCM) to monitor surface saturation and growth per cycle (GPC). The new PE-ALD process is demonstrated to be an efficient procedure to deposit stoichiometric titanium dioxide thin films under optimized process conditions with deposition temperatures as low as 60°C. Thin films deposited on Si(100) and polyethylene-terephthalate (PET) exhibit a low RMS roughness of about 0.22 nm. In addition, proof-of-principle studies on TiO2 thin films deposited on PET show promising results in terms of barrier performance with oxygen transmission rates (OTR) found to be as low as 0.12 cm3 x cm-2 x day-1 for 14 nm thin films. © The Royal Society of Chemistry 2016.
    view abstract10.1039/c5tc03385c
  • Systematic molecular engineering of Zn-ketoiminates for application as precursors in atomic layer depositions of zinc oxide
    O'Donoghue, R. and Peeters, D. and Rogalla, D. and Becker, H.-W. and Rechmann, J. and Henke, S. and Winter, M. and Devi, A.
    Dalton Transactions 45 (2016)
    Molecular engineering of seven closely related zinc ketoiminates, namely, [Zn(dapki)2], [Zn(daeki)2], [Zn(epki)2], [Zn(eeki)2], [Zn(mpki)2], [Zn(meki)2], and [Zn(npki)2], leads to the optimisation of precursor thermal properties in terms of volatilisation rate, onset of volatilisation, reactivity and thermal stability. The influence of functional groups at the imine side chain of the ligands on the precursor properties is studied with regard to their viability as precursors for atomic layer deposition (ALD) of ZnO. The synthesis of [Zn(eeki)2], [Zn(epki)2] and [Zn(dapki)2] and the crystal structures of [Zn(mpki)2], [Zn(eeki)2], [Zn(dapki)2] and [Zn(npki)2] are presented. From the investigation of the physico-chemical characteristics, it was inferred that all compounds are monomeric, volatile and exhibit high thermal stability, all of which make them promising ALD precursors. Compound [Zn(eeki)2] is in terms of thermal properties the most promising Zn-ketoiminate. It is reactive towards water, possesses a melting point of 39 °C, is stable up to 24 days at 220 °C and has an extended volatilisation rate compared to the literature known Zn-ketoiminates. It demonstrated self-saturated, water assisted growth of zinc oxide (ZnO) with growth rates in the order of 1.3 Å per cycle. Moreover, it displayed a broad temperature window from TDep = 175-300 °C and is the first report of a stable high temperature (>200 °C) ALD process for ZnO returning highly promising growth rates. © 2016 The Royal Society of Chemistry.
    view abstract10.1039/c6dt03571j
  • Metal-organic CVD of Y2O3 Thin Films using Yttrium tris-amidinates
    Karle, S. and Dang, V.-S. and Prenzel, M. and Rogalla, D. and Becker, H.-W. and Devi, A.
    Chemical Vapor Deposition 21 (2015)
    Thin films of Y2O3 are deposited on Si(100) and Al2O3 (0001) substrates via metal-organic (MO)CVD for the first time using two closely related yttrium tris-amidinate compounds as precursors in the presence of oxygen in the temperature range 400-700 °C. The structural, morphological, and compositional features of the films are investigated in detail. At deposition temperatures of 500 °C and higher both the precursors yield polycrystalline Y2O3 thin films in the cubic phase. The compositional analysis revealed the formation of nearly stoichiometric Y2O3. The optical band gaps are estimated using UV-Vis spectroscopy. Preliminary electrical measurements are performed in the form of a metal oxide semiconductor (MOS) structure of Al/Y2O3/p-Si/Ag. Leakage currents and dielectric constants are also determined. Y2O3 thin films are grown by MOCVD at 400-700 °C using yttrium amidinate presursors in the presence of oxygen. The films, which are polycrystalline in case of deposition temperatures > 400 °C, are dense and exhibit good purity and homogeneity. © 2015 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201507189
  • MOCVD of TiO2 thin films from a modified titanium alkoxide precursor
    Kim, S.J. and Dang, V.-S. and Xu, K. and Barreca, D. and Maccato, C. and Carraro, G. and Bhakta, R.K. and Winter, M. and Becker, H.-W. and Rogalla, D. and Sada, C. and Fischer, R.A. and Devi, A.
    Physica Status Solidi (A) Applications and Materials Science 212 (2015)
    A new titanium precursor, [Ti(OPri)<inf>2</inf>(deacam)<inf>2</inf>] (deacam = N,N-diethylacetoacetamide), was developed by the reaction of the parent Ti alkoxide with the β-ketoamide. The compound, obtained as a monomeric six-coordinated complex, was used in metal organic chemical vapor deposition (MOCVD) of TiO<inf>2</inf> both as a single source precursor (SSP) and in the presence of oxygen. The high thermal stability of [Ti(OPri)<inf>2</inf>(deacam)<inf>2</inf>] enabled the fabrication of TiO<inf>2</inf> films over a wide temperature range, with steady growth rates between 500 and 800 °C. The microstructure of the obtained systems was analyzed by X-ray diffraction (XRD) and Raman spectroscopy, whereas atomic force microscopy (AFM) and field emission-scanning electron microscopy (FE-SEM) measurements were performed to investigate the surface morphology and nanoorganization. Film composition was investigated by complementary techniques like Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA), X-ray photoelectron spectroscopy (XPS), and secondary ion mass spectrometry (SIMS). The electrical properties of the layers were investigated by performing capacitance voltage (C-V) and leakage current measurements. © 2015 Wiley-VCH Verlag GmbH & Co. KGaA.
    view abstract10.1002/pssa.201532271
  • Atomic layer deposition of TiO2 and ZrO2 thin films using heteroleptic guanidinate precursors
    Kaipio, M. and Blanquart, T. and Banerjee, M. and Xu, K. and Niinistö, J. and Longo, V. and Mizohata, K. and Devi, A. and Ritala, M. and Leskelä, M.
    Chemical Vapor Deposition 20 (2014)
    In this study the atomic layer deposition (ALD) of TiO2 and ZrO2 using two heteroleptic amido-guanidinate precursors, [Ti(NEtMe)3(guan-NEtMe)] and [Zr(NEtMe)3(guan-NEtMe)], together with water or ozone as oxygen sources, are investigated. All processes exhibit self-limiting growth at a deposition temperature of 275°C. The zirconium precursor especially gives high growth rates (0.8/1.0Å per cycle with H2O/O3). The films are also relatively smooth, as determined by atomic force microscopy (AFM). The composition of the films is examined using X-ray photoelectron spectroscopy (XPS) and time of flight elastic recoil detection analysis (TOF-ERDA). When using ozone as the oxygen source the films present very high purity. The results are compared and discussed with respect to earlier studies on guanidinate, as well as homoleptic amido precursors. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201407115
  • Atomic-scale engineering of multifunctional nano-sized materials and films
    Roozeboom, F. and Barreca, D. and Devi, A. and Parala, H. and Ritala, M.
    Physica Status Solidi (A) Applications and Materials Science 211 (2014)
    view abstract10.1002/pssa.201470214
  • Electrical and optical properties of TiO2 thin films prepared by plasma-enhanced atomic layer deposition
    Dang, V.-S. and Parala, H. and Kim, J.H. and Xu, K. and Srinivasan, N.B. and Edengeiser, E. and Havenith, M. and Wieck, A.D. and De Los Arcos, T. and Fischer, R.A. and Devi, A.
    Physica Status Solidi (A) Applications and Materials Science 211 (2014)
    We report on the electrical and optical characterisation of the high-permittivity (high-κ) TiO2 thin films grown by plasma enhanced atomic layer deposition on Si (100) and glass substrates, respectively. TiO2 films were incorporated in metal-oxide semiconductor (MOS) capacitor structures with an Al metal gate electrode. The as-deposited films were amorphous; however upon annealing in the temperature range 500-900°C, crystalline TiO2 in the anatase phase was formed. This was further confirmed by performing Raman measurements where the characteristic features corresponding to the anatase phase were observed. Transmittance and absorption spectra of the as-deposited and annealed films were performed by UV-Vis measurements showing more than 70% of transmittance. The formation of stoichiometric TiO2 was revealed by X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectroscopy (RBS) analysis performed on annealed samples (500-900°C). The dielectric constants were calculated from capacitance-voltage (C-V) curves of the MOS structure on the as-deposited film and annealed films revealing a significant improvement of the dielectric constants from 10 to 75 at AC frequencies of 100 kHz for the 700°C annealed TiO2 thin films. The increase in the dielectric constant for annealed films could be attributed to the transformation of film structure from amorphous to polycrystalline (anatase). However, the transformation of amorphous to crystalline phase, leads to an increase in the leakage current which was also found best fitted with Schottky emission mechanism at moderated electric fields. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/pssa.201330115
  • Indium-tris-guanidinates: A promising class of precursors for water assisted atomic layer deposition of In2O3 thin films
    Gebhard, M. and Hellwig, M. and Parala, H. and Xu, K. and Winter, M. and Devi, A.
    Dalton Transactions 43 (2014)
    Two closely related mononuclear homoleptic indium-tris-guanidinate complexes have been synthesized and characterized as precursors for atomic layer deposition (ALD) of In2O3. In a water assisted ALD process, high quality In2O3 thin films have been fabricated for the first time using the new class of precursors as revealed by the promising ALD growth characteristics and film properties. © The Royal Society of Chemistry 2014.
    view abstract10.1039/c3dt52746h
  • Investigation of Optical, Electrical, and Mechanical Properties of MOCVD-grown ZrO2 Films
    Dang, V.-S. and Banerjee, M. and Zhu, H. and Srinivasan, N.B. and Parala, H. and Pfetzing-Micklich, J. and Wieck, A.D. and Devi, A.
    Chemical Vapor Deposition 20 (2014)
    Metal-organic (MO)CVD of ZrO2 thin films is performed using the precursor [Zr(NMe2)2(guan)2] (guan=η2-(iPrN)2CNMe2) as the Zr source, together with oxygen. Film deposition is carried out on both Si(100) and glass substrates at various deposition temperatures. The resulting films are characterized by X-ray diffraction (XRD) and atomic force microscopy (AFM) for investigating the crystallinity and morphology, respectively. Optical properties are measured by ellipsometry and UV-vis on Si substrates and glass substrates, respectively, showing a high average refractive index of 2.14 and transmittance of more than 80% in visible light for the film deposited at 500°C. The potential of ZrO2 thin films as gate dielectrics is verified by carrying out capacitance-voltage (C-V) and current-voltage (I-V) measurements. Dielectric constants are estimated from the accumulation capacitance, and found to be in the range 12 - 19 at an AC frequency of 1MHz, and a leakage current of the order of 10-6 A cm-2 at the applied field of 1 to 2 MV cm-1 is measured for the films deposited at temperatures from 500 to 700°C. The low leakage current and high dielectric constant implies the good quality of the film, relevant for high-k applications. The hardness of the film ranges from 4.2 to 6.3GPa for the 400nm thick film, as determined by nano-indentation measurements. The optimum dielectric and hardness is found for the film deposited at 600°C, while the highest refractive index is found to be 2.14 for the film deposited at 500°C, due to higher density of the layers. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201407124
  • MOCVD of TiO2 thin films using a heteroleptic titanium complex: Precursor evaluation and investigation of optical, photoelectrochemical and electrical properties
    Banerjee, M. and Dang, V.-S. and Bledowski, M. and Beranek, R. and Becker, H.-W. and Rogalla, D. and Edengeiser, E. and Havenith, M. and Wieck, A.D. and Devi, A.
    Chemical Vapor Deposition 20 (2014)
    A new heteroleptic titanium precursor with a mixed oxygen/nitrogen coordination sphere [Ti(dmap)2(NMe2)2] (Hdmap=1-dimethylamino-2-propanol) is synthesized by a simple elimination reaction on tetrakis-dimethylaminotitanium(IV) [Ti(NMe2)4]. The compound shows encouraging results in terms of chemical and thermal stability compared to the parent alkyl amide [Ti(NMe2)4], and is therefore more suitable for MOCVD applications. TiO2 thin films are grown on Si(100) and ITO-coated borosilicate glass substrates via MOCVD in the temperature range 500-800°C. The deposition temperature has a significant effect on the phase and microstructure of the TiO2 films obtained, which influences the functional properties. The optical bandgaps of the films are in the range 2.92-3.36eV. The best photocurrent response (1.5mAcm-2 under AM 1.5G conditions) in aqueous electrolytes is observed for films grown at 700°C having improved crystallinity and porous columnar structure. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201407125
  • MOCVD of tungsten nitride thin films: Comparison of precursor performance and film characteristics
    Srinivasan, N.B. and Thiede, T.B. and De Los Arcos, T. and Rogalla, D. and Becker, H.-W. and Devi, A. and Fischer, R.A.
    Physica Status Solidi (A) Applications and Materials Science 211 (2014)
    Two different all nitrogen coordinated tungsten complexes, [W(N tBu)2(NMe2)2] (1) and [W(N tBu)2(NMe2){(iPrN) 2C(NMe2)}] (2) were compared for metal organic chemical vapour deposition (MOCVD) of tungsten nitride (WN) thin films in a state-of-the-art commercial MOCVD reactor. Precursor performances of both complexes were investigated under single source precursor (SSP) conditions and in the presence of ammonia as reactive gas where WN thin films were deposited on Si (100) substrates in a temperature range of 500-800°C. The X-ray diffraction (XRD) analysis showed that the films deposited under SSP conditions contained a mixture of carbide and nitride phases; while upon the addition of ammonia crystalline WN thin films were formed at higher temperatures (T ≥ 600°C). Elemental composition investigated by complementary techniques such as Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA) and X-ray photoelectron spectroscopy (XPS) revealed that the films grown in the presence of ammonia had increased levels of nitrogen and a decreased carbon content in comparison to films grown under SSP conditions. WN films deposited in the presence of ammonia show higher resistivity values than those deposited under SSP conditions. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/pssa.201330127
  • Nanostructured Er2O3 thin films grown by metalorganic chemical vapour deposition
    Xu, K. and Dang, V.-S. and Ney, A. and De Los Arcos, T. and Devi, A.
    Journal of Nanoscience and Nanotechnology 14 (2014)
    Metalorganic chemical vapor deposition (MOCVD) of nanostructured Er 2O3 thin films was performed using the Er-tris-guanidinate precursor [Er(DPDMG)3] (DPDMG = diisopropyl-2- dimethylamidoguanidinato) as the Er source and oxygen. Film deposition was carried out on Si(100) and quartz glass substrates and the process parameters namely temperature, pressure and oxygen flow rate were varied. The resulting thin films were characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM) for investigating the crystallinity and morphology, respectively. The chemical composition of the film was investigated by X-ray photoelectron spectroscopy (XPS) measurements. Transmittance and absorption spectra of the 600 °C film grown on glass substrates were performed by UV-vis measurements revealing more than 80% transmittance. The potential of Er2O3 thin films as gate dielectrics was verified by carrying out capacitance-voltage (C-V ) and current-voltage (I-V ) measurements. Dielectric constants estimated from the accumulation capacitance were found to be in the range of 10-12 in AC frequencies of 1 MHz down to 10 kHz and the leakage current of the order of 2×10-8 A/cm2 at the applied field of 1 MV cm-1 was measured for films deposited under optimised process conditions. The low leakage current and high dielectric constant implies good quality of the Er2O3 layers relevant for high-k applications. These layers were found to be paramagnetic with a slightly reduced magnetic moment of the Er3+ ions. Copyright © 2014 American Scientific Publishers All rights reserved.
    view abstract10.1166/jnn.2014.8848
  • Novel β-ketoiminato complexes of zirconium: Synthesis, characterization and evaluation for solution based processing of ZrO2 thin films
    Banerjee, M. and Seidel, R.W. and Winter, M. and Becker, H.-W. and Rogalla, D. and Devi, A.
    Dalton Transactions 43 (2014)
    Treatment of tetrakis(diethylamido)zirconium(iv); [Zr(NEt2) 4] with a series of β-ketoimines ({[RHN]C(CH3)C(H) C(CH3)O} where R is a functionalized side-chain; 4-(2- methoxyethylamino)pent-3-en-2-one, Hmeap; 4-(3-methoxypropylamino)pent-3-en-2- one, Hmpap; 4-(2-(dimethylamino)ethylamino)pent-3-en-2-one, Hdeap; 4-(3-(dimethylamino)propylamino)pent-3-en-2-one, Hdpap) leads to an amine substitution reaction that yielded novel monomeric heteroleptic mixed amido-ketoiminato complexes of the type bis(4-(2-methoxyethylamino)pent-3-en-2- onato)bis(diethylamido)zirconium(iv) (1), bis(4-(3-methoxypropylamino)pent-3-en- 2-onato)bis(diethylamido)zirconium(iv) (2), and bis(4-(3-(dimethylamino) propylamino)pent-3-en-2-onato)bis(diethylamido)zirconium(iv) (3), and eight-coordinated homoleptic complexes tetrakis(4-(2-methoxyethylamino)pent-3- en-2-onato)zirconium(iv) (4) and tetrakis(4-(2-(dimethylamino)ethylamino)pent-3- en-2-onato)zirconium(iv) (5), depending on the ratio of the ligand to zirconium. Adopting a similar strategy with zirconium alkoxide, namely [Zr(O iPr)4·iPrOH], with β-ketoimine Hmeap, leads to the formation of a dimer, bis(μ2-isopropoxo)bis(4- (2-methoxyethylamino)pent-3-en-2-onato)tetrakis(isopropoxo)dizirconium(iv) (6). The newly synthesised complexes were characterized by NMR spectroscopy, mass spectrometry, single crystal X-ray diffraction, elemental analysis and thermal analysis. The low decomposition temperature facilitated by the stepwise elimination of the ketominate ligand from the complex and the stability of the complexes obtained in air as well as in solution makes them highly suitable for solution based processing of ZrO2 thin films, which is demonstrated using compound 5 on Si(100) substrates. High quality ZrO2 films were obtained and were investigated for their structure, morphology, composition and optical properties. Low temperature crystallisation of ZrO2 is achieved by a simple chemical deposition process using the new class of Zr precursors and the films exhibit an optical transmittance above 90%. © 2014 The Royal Society of Chemistry.
    view abstract10.1039/c3dt52335g
  • Recent advances using guanidinate ligands for Chemical Vapour Deposition (CVD) and Atomic Layer Deposition (ALD) applications
    Kurek, A. and Gordon, P.G. and Karle, S. and Devi, A. and Barry, S.T.
    Australian Journal of Chemistry 67 (2014)
    Volatile metal complexes are important for chemical vapour deposition (CVD) and atomic layer deposition (ALD) to deliver metal components to growing thin films. Compounds that are thermally stable enough to volatilize but that can also react with a specific substrate are uncommon and remain unknown for many metal centres. Guanidinate ligands, as discussed in this review, have proven their utility for CVD and ALD precursors for a broad range of metal centres. Guanidinate complexes have been used to deposit metal oxides, metal nitrides and pure metal films by tuning process parameters. Our review highlights use of guanidinate ligands for CVD and ALD of thin films over the past five years, design trends for precursors, promising precursor candidates and discusses the future outlook of these ligands. © CSIRO 2014.
    view abstract10.1071/CH14172
  • Surface decoration of ε-Fe2O3 nanorods by CuO via a two-step CVD/sputtering approach
    Barreca, D. and Carraro, G. and Peeters, D. and Gasparotto, A. and Maccato, C. and Kessels, W.M.M. and Longo, V. and Rossi, F. and Bontempi, E. and Sada, C. and Devi, A.
    Chemical Vapor Deposition 20 (2014)
    In this paper we report on the first example of Fe2O3/CuO composites fabricated by a two-step vapor-phase synthetic strategy. The target route is based on the CVD of Fe2O3 nanorod arrays on Si(100) at 400°C starting from Fe(hfa)2TMEDA (hfa=1,1,1,5,5,5-hexafluoro-2,4-pentanedionate; TMEDA=N,N,N',N'-tetramethylethylenediamine), followed by radio frequency (RF) copper sputtering for various process durations, and final ex-situ annealing in air. The combined use of complementary structural, morphological, and chemical analyses give evidence of the formation of pure nanocomposite systems, characterized by the presence of the sole ε-Fe2O3 and CuO phases. The unique features of the adopted approach enable an efficient surface decoration of ε-Fe2O3 rods by CuO nanoparticles a few nm in diameter, resulting in an intimate contact between the two oxides, and a CuO content tunable through variations of the sole sputtering time. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201407108
  • Tailoring iron(III) oxide nanomorphology by chemical vapor deposition: Growth and characterization
    Peeters, D. and Carraro, G. and Maccato, C. and Parala, H. and Gasparotto, A. and Barreca, D. and Sada, C. and Kartaschew, K. and Havenith, M. and Rogalla, D. and Becker, H.-W. and Devi, A.
    Physica Status Solidi (A) Applications and Materials Science 211 (2014)
    Iron(III) oxide nanosystems are actually the focus of an intensive attention due to their low cost, non-toxicity, ample abundance, and attractive chemico-physical properties. In this work, iron(III) oxide nanomaterials were deposited by chemical vapor deposition (CVD) under O2 atmospheres in the temperature range 500-800°C, starting from the scarcely investigated tris(tert-butyl acetoacetato)iron(III) precursor. All nanodeposits were found to consist of the α-Fe2O3 (hematite) polymorph. Surface and in-depth analyses demonstrated the presence of high purity Fe 2O3, indicating the occurrence of a clean precursor decomposition under the adopted conditions. Interestingly, the system morphology could be controlled by varying the deposition temperature and ranged from the circular assembly of ordered nanosheets, to rough vortices, up to dense deposits characterized by the copresence of nanosheets and nanocolumns. The unique surface features offer great properties for advanced applications in various technological fields, such as catalysis and photocatalysis, solid state gas sensing, and magnetic recording media. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/pssa.201330079
  • 'Old chemistries' for new applications: Perspectives for development of precursors for MOCVD and ALD applications
    Devi, A.
    Coordination Chemistry Reviews 257 (2013)
    The concept of the transformation of molecules to materials has been well established in the field of chemical vapor deposition (CVD) and atomic layer deposition (ALD). However, materials scientists are always on the lookout for new materials with enhanced functionalities for eventual application in devices. New materials have become an integral part of modern day technology especially in the field of microelectronics and optoelectronics. The importance of CVD and ALD processes for high throughput and coating on complex device geometries is well recognized for these applications. Since the underlying precursor chemistry is one of the main parameters that dictate these processes, there is still scope for further exploratory research, in terms of precursor design and development that suits the demands of advanced technologies. A wide range of precursors can be used to realize specific class of materials but the trend recently has been driven by the reduced thermal budget needed especially for components employed in microelectronics and optoelectronics. The chronological developments in precursors for CVD/ALD point out that, designer precursors are set to play a major role in the field of materials engineering. The desirable growth conditions could be achieved with a proper selection of compounds which may stem from the available class of metal complexes or even engineered compounds. In this review article, the concept of utilizing 'old chemistries' for new CVD and ALD applications will be highlighted focussing on some representative functional materials namely group IV and rare earth oxides. Some of the very recent results on precursor development carried out in the Inorganic Materials Chemistry research group at Bochum, Germany are summarized. © 2013.
    view abstract10.1016/j.ccr.2013.07.025
  • A Z′ = 6 crystal structure of (E)-N,N′-dicyclohexylacetamidine
    Krasnopolski, M. and Seidel, R.W. and Goddard, R. and Breidung, J. and Winter, M.V. and Devi, A. and Fischer, R.A.
    Journal of Molecular Structure 1031 (2013)
    The crystal and molecular structure of (E)-N,N′- dicyclohexylacetamidine (1) is described. Crystalline material of 1 was obtained by sublimation. Single-crystal X-ray analysis revealed a centrosymmetric triclinic structure (space group P1̄) with six molecules in the asymmetric unit (Z′ = 6). The six crystallographically distinct molecules all exhibit an E-syn structure, but differ in the orientation of the cyclohexyl groups about the central acetamidine moiety. In the crystal, the molecules form polymeric helices via NH⋯N hydrogen bonds. The crystal structure comprises two crystallographically distinct helices of opposite handedness (P and M form). The characterisation of 1 in the solid-state is augmented by powder X-ray diffraction, infrared spectroscopy and thermal analysis. Density functional theory (DFT) structure optimisation and frequency calculation were performed at the B3LYP/cc-pVTZ level. The DFT results for the isolated molecule are compared with the experimental results for the solid-state. © 2012 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.molstruc.2012.10.003
  • Atomic layer deposition of Er2O3 thin films from Er tris-guanidinate and water: Process optimization, film analysis and electrical properties
    Xu, K. and Chaudhuri, A.R. and Parala, H. and Schwendt, D. and Arcos, T.D.L. and Osten, H.J. and Devi, A.
    Journal of Materials Chemistry C 1 (2013)
    For the first time, the combination of the homoleptic erbium tris-guanidinate metalorganic complex ([Er(NMe2-Guan)3]) simply with water yielded high quality Er2O3 thin films on Si(100) substrates employing the atomic layer deposition (ALD) process. The process optimization to grow good quality Er2O3 layers was performed by varying the Er precursor pulse time, water pulse time and purge time. The high reactivity of the Er compound towards water and good thermal stability in the temperature range of 150-275°C (ALD window) resulted in homogeneous, stoichiometric Er2O3 layers with high growth rates (1.1 Å per cycle) and the as-deposited films crystallized in the cubic phase. The saturation behavior at different temperatures in the ALD window and the linear dependence of film thickness as a function of precursor pulse time confirmed the true ALD process. The potential of Er2O 3 thin films as gate dielectrics was verified by performing capacitance-voltage (C-V) and current-voltage (I-V) measurements. Dielectric constants estimated from the accumulation capacitance were found to be in the range of 10-13 for layers of different thicknesses (15-30 nm). © 2013 The Royal Society of Chemistry.
    view abstract10.1039/c3tc30401a
  • Fabrication of Borassus fruit lignocellulose fiber/PP composites and comparison with jute, sisal and coir fibers
    Sudhakara, P. and Jagadeesh, D. and Wang, Y. and Venkata Prasad, C. and Devi, A.P.K. and Balakrishnan, G. and Kim, B.S. and Song, J.I.
    Carbohydrate Polymers 98 (2013)
    Novel composites based on borassus fruit fine fiber (BFF) and polypropylene (PP) were fabricated with variable fiber composition (5, 10, 15 and 20 wt%) by injection molding. Maleated PP (MAPP) was also used as compatibilizer at 5 wt% for effective fiber-matrix adhesion. FTIR analysis confirms the evidence of a chemical bonding between the fiber and polymeric matrix through esterification in presence of MAPP. The tensile and flexural properties were found to increase with 15 and 10 wt% fiber loadings respectively, and decreased thereafter. Coir, jute and sisal fiber composites were also fabricated with 15 wt% fiber loading under the same conditions as used for BFF/PP composites. It was found that the mechanical properties of BFF (15 wt%)/PP composites were equivalent to jute/PP, sisal/PP and superior to coir/PP composites. Jute/PP and sisal/PP composites showed higher water absorption than BFF/PP and coir/PP composites. These results have demonstrated that the BFF/PP composites can also be an alternative material for composites applications. © 2013 Elsevier Ltd. All rights reserved.
    view abstract10.1016/j.carbpol.2013.06.080
  • Growth and crystallization of TiO2 thin films by atomic layer deposition using a novel amido guanidinate titanium source and tetrakis-dimethylamido-titanium
    Reiners, M. and Xu, K. and Aslam, N. and Devi, A. and Waser, R. and Hoffmann-Eifert, S.
    Chemistry of Materials 25 (2013)
    We studied the growth of TiO2 by liquid injection atomic layer deposition (ALD) utilizing two different amide-based titanium sources, tetrakis-dimethylamido-titanium [(NMe2)4-Ti, TDMAT] and its recently developed derivative, tris-(dimethylamido)-mono-(N,N′- diisopropyl-dimethyl-amido-guanidinato)-titanium {[(N-iPr)2NMe 2]Ti(NMe2)3, TiA3G1}, with water vapor as counterreactant. A clear saturation of growth with an increasing precursor supply was found for TDMAT between 150 and 300 C and for TiA3G1 between 150 and 330 C. Representative growth per cycle (GPC) values at 250 C were 0.041 and 0.044 nm/cycle, respectively. Compared to that of TDMAT, ALD of TiA3G1 exhibited a significantly higher stability in the GPC values up to 300 C coinciding with an improved temperature stability of the precursor. Both processes showed a minimum of the growth rate as a function of temperature. In all cases, the residual carbon and nitrogen contents of the TiO2 films were < 3 atom %. Conformal growth was demonstrated on three-dimensional pinhole structures with an aspect ratio of around 1:30. Deposition temperatures of ≤200 C led to quasi-amorphous films. At higher growth temperatures, the anatase phase developed, accompanied by the brookite and/or the rutile phase depending on process conditions, deposition temperature, and film thickness. © 2013 American Chemical Society.
    view abstract10.1021/cm303703r
  • Homoleptic gadolinium amidinates as precursors for MOCVD of oriented gadolinium nitride (GdN) thin films
    Krasnopolski, M. and Hrib, C.G. and Seidel, R.W. and Winter, M. and Becker, H.-W. and Rogalla, D. and Fischer, R.A. and Edelmann, F.T. and Devi, A.
    Inorganic Chemistry 52 (2013)
    Five new homoleptic gadolinium tris-amidinate complexes are reported, which were synthesized via the salt-elimination reaction of GdCl3 with 3 equiv of lithiated symmetric and asymmetric amidinates at ambient temperature. The Gd-tris-amidinates [Gd{(NiPr)2CR}3] [R = Me (1), Et (2), tBu (3), nBu (4)] and [Gd{(NEt)(N tBu)CMe}3] (5) are solids at room temperature and sublime at temperatures of about 125 C (6 × 10-2 mbar) with the exception of compound 4, which is a viscous liquid at room temperature. According to X-ray diffraction analysis of 3 and 5 as representative examples of the series, the complexes adopt a distorted octahedral structure in the solid state. Mass spectrometric (MS) data confirmed the monomeric structure in the gas phase, and high-resolution MS allowed the identification of characteristic fragments, such as [{(NiPr)2CR}GdCH3] + and [{(NiPr)2CR}GdNH]+. The alkyl substitution patterns of the amidinate ligands clearly show an influence on the thermal properties, and specifically, the introduction of the asymmetric carbodiimide leads to a lowering of the onset of volatilization and decomposition. Compound 5, which is the first Gd complex with an asymmetric amidinate ligand system to be reported, was, therefore, tested for the MOCVD of GdN thin films. The as-deposited GdN films were capped with Cu in a subsequent MOCVD process to prevent postdeposition oxidation of the films. Cubic GdN on Si(100) substrates with a preferred orientation in the (200) direction were grown at 750 C under an ammonia atmosphere and exhibited a columnar morphology and low levels of C or O impurities according to scanning electron microscopy, Rutherford backscattering, and nuclear reaction analysis. © 2012 American Chemical Society.
    view abstract10.1021/ic301826s
  • Intrinsic nitrogen-doped CVD-grown TiO2 thin films from all-N-coordinated Ti precursors for photoelectrochemical applications
    Kim, S.J. and Xu, K. and Parala, H. and Beranek, R. and Bledowski, M. and Sliozberg, K. and Becker, H.-W. and Rogalla, D. and Barreca, D. and Maccato, C. and Sada, C. and Schuhmann, W. and Fischer, R.A. and Devi, A.
    Chemical Vapor Deposition 19 (2013)
    N-doped titanium dioxide (TiO2) thin films are grown on Si(100) and indium tin oxide (ITO)-coated borosilicate glass substrates by metal-organic (MO)CVD. The intrinsic doping of TiO2 thin films is achieved using all-nitrogen-coordinated Ti precursors in the presence of oxygen. The titanium amide-guanidinate complex, [Ti(NMe2)3(guan)] (guan = N,N′-diisopropyl-2-dimethylamidoguanidinato) has been developed to compensate for the thermal instability of the parent alkylamide [Ti(NMe 2)4]. Both of these amide-based compounds are tested and compared as precursors for intrinsically N-doped TiO2 at various deposition temperatures in the absence of additional N sources. The structure and morphology of TiO2 thin films are characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and atomic force microscopy (AFM). Rutherford back scattering (RBS), nuclear reaction analysis (NRA), and secondary ion mass spectrometry (SIMS) analyses are performed to determine N content and distribution in the films. The optical and photoelectrochemical properties of TiO2 thin films on ITO substrates are also examined. N-doped TiO2 thin films, grown from [Ti(NMe 2)3(guan)] at 600 °C, exhibit the lowest optical absorption edge (3.0 eV) and the highest visible light photocurrent response. When compared to undoped TiO2, while in UV light photoconversion efficiency decreases significantly, the intrinsically N-doped TiO2 shows enhanced photocurrents under visible light irradiation. The intrinsic doping of TiO2 thin films with nitrogen by MOCVD and the investigation of the photo-electrochemical properties of the films are reported. N-doped anatase phase TiO2 thin films are grown on Si(100) and ITO substrates under specific processing conditions, using [Ti(NMe2) 4] (1) and [Ti(NMe2)3(guan)] (2) (guan = N,N′-diisopropyl-2-dimethylamidoguanidinato) as precursors. The films grown from [Ti(NMe2)3(guan)] at 600 °C show relatively large surface roughness and lower bandgap related with high N content. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201206996
  • Transition metal nitride thin films grown by MOCVD using amidinato based complexes [M(NtBu)2{(iPrN)2CMe}2] (M=Mo, W) as precursors
    Srinivasan, N.B. and Thiede, T.B. and de los Arcos, T. and Gwildies, V. and Krasnopolski, M. and Becker, H.-W. and Rogalla, D. and Devi, A. and Fischer, R.A.
    Surface and Coatings Technology 230 (2013)
    Thin films of molybdenum nitride and tungsten nitride were deposited by metal organic chemical vapour deposition (MOCVD) employing the mixed amidinato-imido compounds [M(NtBu)2{(iPrN)2CMe}2] (M=Mo (1), W (2)) as potential precursors under single source precursor (SSP) condition and in the presence of ammonia at substrate temperatures of 500°C-800°C. Under SSP conditions, the films consisted of the nitride and carbide phases for both the material systems, while the addition of ammonia during the MOCVD process led to the formation of the respective metal nitrides. The films were smooth and amorphous at 500°C, and comprised of very fine grains at higher temperatures. Elemental composition investigated by complementary techniques such as Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA) and X-ray photoelectron spectroscopy (XPS) revealed that the films grown in the presence of ammonia had increased levels of nitrogen and decreased carbon content relative to films grown under SSP condition. © 2013 Elsevier B.V.
    view abstract10.1016/j.surfcoat.2013.06.024
  • Zr(NEtMe)2(guan-NEtMe2)2] as a novel atomic layer deposition precursor: ZrO2 film growth and mechanistic studies
    Blanquart, T. and Niinistö, J. and Aslam, N. and Banerjee, M. and Tomczak, Y. and Gavagnin, M. and Longo, V. and Puukilainen, E. and Wanzenboeck, H.D. and Kessels, W.M.M. and Devi, A. and Hoffmann-Eifert, S. and Ritala, M. and Leskelä, M.
    Chemistry of Materials 25 (2013)
    [Zr(NEtMe)2(guan-NEtMe2)2], a recently developed compound, was investigated as a novel precursor for the atomic layer deposition (ALD) of ZrO2. With water as the oxygen source, the growth rate remained constant over a wide temperature range, whereas with ozone the growth rate increased steadily with deposition temperature. Both ALD processes were successfully developed: the characteristic self-limiting ALD growth mode was confirmed at 300 C. The growth rates were exceptionally high, 0.9 and 1.15 Å/cycle with water and ozone, respectively. X-ray diffraction (XRD) indicated that the films were deposited in the high-permittivity cubic phase, even when grown at temperatures as low as 250 C. Compositional analysis performed by means of X-ray photoelectron spectroscopy (XPS) demonstrated low carbon and nitrogen contamination (< 2 at. % when deposited with ozone). The films presented low root-mean-square (rms) roughness, below 5% of the film thickness, as well as excellent step coverage and conformality on 30:1 aspect ratio trench structures. Dielectric characterization was performed on ZrO 2 metal-insulator-metal (MIM) capacitors and demonstrated high permittivity and low leakage current, as well as good stability of the capacitance. The ALD reaction mechanism was studied in situ: adsorption of the precursor through reaction of the two guan-NEtMe2 ligands with the surface-OD groups was confirmed by the quartz crystal microbalance (QCM) and quadrupole mass spectrometric (QMS) results. © 2013 American Chemical Society.
    view abstract10.1021/cm401279v
  • Ag/ZnO nanomaterials as high performance sensors for flammable and toxic gases
    Simon, Q. and Barreca, D. and Gasparotto, A. and MacCato, C. and Tondello, E. and Sada, C. and Comini, E. and Devi, A. and Fischer, R.A.
    Nanotechnology 23 (2012)
    Ag/ZnO nanocomposites supported on polycrystalline Al 2O 3 were synthesized by an unprecedented approach combining plasma enhanced chemical vapor deposition (PE-CVD) of ZnO matrices and the subsequent deposition of Ag nanoparticles (NPs) by radio frequency (RF) sputtering. The system structure, composition and morphology were investigated by glancing incidence x-ray diffraction (GIXRD), secondary ion mass spectrometry (SIMS), field emission scanning electron microscopy (FE-SEM) and energy dispersive x-ray spectroscopy (EDXS). A tailored dispersion and distribution of silver particles could be obtained under mild conditions by the sole variation of the sputtering time. Gas sensing properties toward flammable and toxic gases, both reducing (CH 3CH 2OH, CH 3COCH 3) and oxidizing (O 3), were investigated in the temperature range 100400°C. Beside the high sensitivity, the developed sensors exhibited a response proportional to Ag content, thanks to catalytic and electronic effects promoted by silver NPs. In addition, discrimination between oxidizing and reducing analytes was enabled by a suitable choice of the adopted working temperature.
    view abstract10.1088/0957-4484/23/2/025502
  • Atomic layer deposition of Gd 2O 3 and Dy 2O 3: A study of the ALD characteristics and structural and electrical properties
    Xu, K. and Ranjith, R. and Laha, A. and Parala, H. and Milanov, A.P. and Fischer, R.A. and Bugiel, E. and Feydt, J. and Irsen, S. and Toader, T. and Bock, C. and Rogalla, D. and Osten, H.-J. and Kunze, U. and Devi, A.
    Chemistry of Materials 24 (2012)
    Gd 2O 3 and Dy 2O 3 thin films were grown by atomic layer deposition (ALD) on Si(100) substrates using the homoleptic rare earth guanidinate based precursors, namely, tris(N,N′- diisopropyl-2-dimethylamido-guanidinato)gadolinium(III) [Gd(DPDMG) 3] (1) and tris(N,N′-diisopropyl-2-dimethylamido-guanidinato)dysprosium(III) [Dy(DPDMG) 3] (2), respectively. Both complexes are volatile and exhibit high reactivity and good thermal stability, which are ideal characteristics of a good ALD precursor. Thin Gd 2O 3 and Dy 2O 3 layers were grown by ALD, where the precursors were used in combination with water as a reactant at reduced pressure at the substrate temperature ranging from 150 °C to 350 °C. A constant growth per cycle (GPC) of 1.1 Å was obtained at deposition temperatures between 175 and 275 °C for Gd 2O 3, and in the case of Dy 2O 3, a GPC of 1.0 Å was obtained at 200-275 °C. The self-limiting ALD growth characteristics and the saturation behavior of the precursors were confirmed at substrate temperatures of 225 and 250 °C within the ALD window for both Gd 2O 3 and Dy 2O 3. Thin films were structurally characterized by grazing incidence X-ray diffraction (GI-XRD), atomic force microscopy (AFM), and transmission electron microscopy (TEM) analyses for crystallinity and morphology. The chemical composition of the layer was examined by Rutherford backscattering (RBS) analysis and Auger electron spectroscopy (AES) depth profile measurements. The electrical properties of the ALD grown layers were analyzed by capacitance-voltage (C-V) and current-voltage (I-V) measurements. Upon subjection to a forming gas treatment, the ALD grown layers show promising dielectric behavior, with no hysteresis and reduced interface trap densities, thus revealing the potential of these layers as high-k oxide for application in complementary metal oxide semiconductor based devices. © 2012 American Chemical Society.
    view abstract10.1021/cm2020862
  • Atomic layer deposition of HfO 2 thin films employing a heteroleptic hafnium precursor
    Xu, K. and Milanov, A.P. and Parala, H. and Wenger, C. and Baristiran-Kaynak, C. and Lakribssi, K. and Toader, T. and Bock, C. and Rogalla, D. and Becker, H.-W. and Kunze, U. and Devi, A.
    Chemical Vapor Deposition 18 (2012)
    The application of a heteroleptic hafnium amide-guanidinate precursor for the deposition of HfO 2 thin films via a water-assisted atomic layer deposition (ALD) process is demonstrated for the first time. HfO 2 films are grown in the temperature range 100-300 °C using the compound [Hf(NMe 2) 2(NMe 2-Guan) 2] (1). This compound shows self-limiting ALD-type growth characteristics with growth rates of the order of 1.0-1.2 Å per cycle in the temperature range 100-225 °C. The saturation behavior and a linear dependence on film thickness as a function of number of cycles are verified at various temperatures within the ALD window. The as-deposited HfO 2 films are characterized by atomic force microscopy (AFM), scanning electron microscopy (SEM), Rutherford backscattering spectroscopy (RBS), X-ray photoelectron spectroscopy (XPS), and electrical measurements. For a direct comparison of the precursor performance with that of the parent alkyl amide [Hf(NMe 2) 4] (2), ALD experiments are also performed employing compound 2 under similar process conditions, and in this case no typical ALD characteristics are observed. The application of a heteroleptic hafnium amide-guanidinate precursor [Hf(NMe 2) 2(NMe 2-Guan) 2] for the deposition of HfO 2 thin films via a water assisted ALD process has been demonstrated for the first time. This compound showed self-limiting ALD type growth characteristics with the growth rates as high as 1.0-1.2 Å per cycle in the temperature range 100-225 °C. Typical ALD characteristics such as saturation behavior and linear dependence on the film thickness as a function of number of cycles were verified at different temperatures within the ALD window. The as-deposited HfO 2 films were characterized by AFM, SEM, RBS, XPS and electrical measurements. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201106934
  • Co 3O 4/ZnO nanocomposites: From plasma synthesis to gas sensing applications
    Bekermann, D. and Gasparotto, A. and Barreca, D. and Maccato, C. and Comini, E. and Sada, C. and Sberveglieri, G. and Devi, A. and Fischer, R.A.
    ACS Applied Materials and Interfaces 4 (2012)
    Herein, we describe the design, fabrication and gas sensing tests of p-Co 3O 4/n-ZnO nanocomposites. Specifically, arrays of 〈001〉 oriented ZnO nanoparticles were grown on alumina substrates by plasma enhanced-chemical vapor deposition (PECVD) and used as templates for the subsequent PECVD of Co 3O 4 nanograins. Structural, morphological and compositional analyses evidenced the successful formation of pure and high-area nanocomposites with a tailored overdispersion of Co 3O 4 particles on ZnO and an intimate contact between the two oxides. Preliminary functional tests for the detection of flammable/toxic analytes (CH 3COCH 3, CH 3CH 2OH, NO 2) indicated promising sensing responses and the possibility of discriminating between reducing and oxidizing species as a function of the operating temperature. © 2012 American Chemical Society.
    view abstract10.1021/am201591w
  • CuO/ZnO nanocomposite gas sensors developed by a plasma-assisted route
    Simon, Q. and Barreca, D. and Gasparotto, A. and MacCato, C. and Tondello, E. and Sada, C. and Comini, E. and Sberveglieri, G. and Banerjee, M. and Xu, K. and Devi, A. and Fischer, R.A.
    ChemPhysChem 13 (2012)
    CuO/ZnO nanocomposites were synthesized on Al 2O 3 substrates by a hybrid plasma-assisted approach, combining the initial growth of ZnO columnar arrays by plasma-enhanced chemical vapor deposition (PE-CVD) and subsequent radio frequency (RF) sputtering of copper, followed by final annealing in air. Chemical, morphological, and structural analyses revealed the formation of high-purity nanosystems, characterized by a controllable dispersion of CuO particles into ZnO matrices. The high surface-to-volume ratio of the obtained materials, along with intimate CuO/ZnO intermixing, resulted in the efficient detection of various oxidizing and reducing gases (such as O 3, CH 3CH 2OH, and H 2). The obtained data are critically discussed and interrelated with the chemical and physical properties of the nanocomposites. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cphc.201101062
  • Fabrication of ZrO 2 and ZrN films by metalorganic chemical vapor deposition employing new Zr precursors
    Banerjee, M. and Srinivasan, N.B. and Zhu, H. and Kim, S.J. and Xu, K. and Winter, M. and Becker, H.-W. and Rogalla, D. and De Los Arcos, T. and Bekermann, D. and Barreca, D. and Fischer, R.A. and Devi, A.
    Crystal Growth and Design 12 (2012)
    The application of new zirconium precursors for the fabrication of ZrO 2 and ZrN thin films by metalorganic chemical vapor deposition (MOCVD) is presented. The all-nitrogen coordinated Zr precursors exhibit improved thermal properties for vapor phase fabrication of thin films. The growth of ZrO 2 thin films was realized by the combination of the Zr complex with oxygen, while the formation of ZrN thin films was achieved for the first time employing a single source precursor (SSP) approach. This was enabled by the presence of nitrogen containing ligands which contributes to the formation of the ZrN phase without the need for any additional nitrogen source in contrast to classical film growth processes for ZrN thin films. In the first step the newly developed precursors were evaluated thoroughly for their use in MOCVD applications, and in the next step they were utilized for the growth of ZrO 2 and ZrN thin films on Si(100) substrates. Polycrystalline ZrO 2 films that crystallized in the monoclinic phase and the fcc-ZrN films oriented in the (200) direction were obtained, and their structure, morphology, and composition were analyzed by a series of techniques. This work shows the potential of tuning precursors for vapor phase fabrication of Zr containing thin films with a goal of obtaining two different classes of material systems (ZrO 2 and ZrN) using one common precursor. © 2012 American Chemical Society.
    view abstract10.1021/cg3010147
  • High mobility ZnO thin film transistors using the novel deposition of high-k dielectrics
    Ngwashi, D.K. and Cross, R.B.M. and Paul, S. and Milanov, A.P. and Devi, A.
    Materials Research Society Symposium Proceedings 1315 (2012)
    In order to investigate the performance of ZnO-based thin film transistors (ZnO-TFTs), we fabricate devices using amorphous hafnium dioxide (HfO 2) high-k dielectrics. Sputtered ZnO was used as the active channel layer, and aluminium source/drain electrodes were deposited by thermal evaporation, and the HfO 2 high-k dielectrics are deposited by metal-organic chemical vapour deposition (MOCVD). The ZnO-TFTs with high-k HfO 2 gate insulators exhibit good performance metrics and effective channel mobility which is appreciably higher in comparison to SiO 2-based ZnO TFTs fabricated under similar conditions. The average channel mobility, turnon voltage, on-off current ratio and subthreshold swing of the high-k TFTs are 31.2 cm 2V -1s -1, -4.7 V, ∼10 3, and 2.4 V/dec respectively. We compared the characteristics of a typical device consisting of HfO 2 to those of a device consisting of thermally grown SiO 2 to examine their potential for use as high-k dielectrics in future TFT devices. © 2011 Materials Research Society.
    view abstract10.1557/opl.2011.721
  • Influence of process parameters on the crystallinity, morphology and composition of tungsten oxide-based thin films grown by metalorganic chemical vapor deposition
    De Los Arcos, T. and Cwik, S. and Milanov, A.P. and Gwildies, V. and Parala, H. and Wagner, T. and Birkner, A. and Rogalla, D. and Becker, H.-W. and Winter, J. and Ludwig, Al. and Fischer, R.A. and Devi, A.
    Thin Solid Films 522 (2012)
    The growth of tungsten oxide (WO 3) based thin films was achieved via metalorganic chemical vapor deposition using an all-nitrogen coordinated tungsten precursor in combination with oxygen. Film growth was performed on Si(100) substrates in the temperature range of 400-800 °C. Employing multi-technique approaches like X-ray diffraction, scanning electron microscopy, atomic force microscopy, Rutherford back scattering, nuclear reaction analysis and X-ray photoelectron spectroscopy, the variation of the growth characteristics and film properties with deposition temperature were studied in terms of crystallinity, structure, surface roughness and composition. Special attention was devoted to the investigation of variations in the film composition for the as-deposited and annealed films. © 2011 Elsevier B.V.
    view abstract10.1016/j.tsf.2011.12.007
  • Microgradient-heaters as tools for high-throughput experimentation
    Meyer, R. and Hamann, S. and Ehmann, M. and Thienhaus, S. and Jaeger, S. and Thiede, T. and Devi, A. and Fischer, R.A. and Ludwig, Al.
    ACS Combinatorial Science 14 (2012)
    A microgradient-heater (MGH) was developed, and its feasibility as a tool for high-throughput materials science experimentation was tested. The MGH is derived from microhot plate (MHP) systems and allows combinatorial thermal processing on the micronano scale. The temperature gradient is adjustable by the substrate material. For an Au-coated MGH membrane a temperature drop from 605 to 100 °C was measured over a distance of 965 μm, resulting in an average temperature change of 0.52 K/μm. As a proof of principle, we demonstrate the feasibility of MGHs on the example of a chemical vapor deposition (CVD) process. The achieved results show discontinuous changes in surface morphology within a continuous TiO 2 film. Furthermore the MGH can be used to get insights into the energetic relations of film growth processes, giving it the potential for microcalorimetry measurements. © 2012 American Chemical Society.
    view abstract10.1021/co3000488
  • Rare-earth substituted HfO2 thin films grown by metalorganic chemical vapor deposition
    Devi, A. and Cwik, S. and Xu, K. and Milanov, A.P. and Noei, H. and Wang, Y. and Barreca, D. and Meijer, J. and Rogalla, D. and Kahn, D. and Cross, R. and Parala, H. and Paul, S.
    Thin Solid Films 520 (2012)
    Thin films of HfGdOx and HfDyOx were deposited by metalorganic chemical vapor deposition (MOCVD) utilizing guanidinate precursors for Hf, Gd and Dy. The close match in the thermal properties of the precursors enabled the MOCVD of rare-earth (RE) substituted HfO2 over a wide temperature window. Film deposition was carried out in the temperature range 300-700 °C in the presence of oxygen on Si(100) substrates. HfGdO x films were analyzed in detail for their structure, composition and morphology using X-ray diffraction, Rutherford backscattering spectrometry, proton induced X-ray emission, X-ray photoelectron spectroscopy and scanning electron microscopy. The electrical properties of HfGdOx in terms of capacitance-voltage and current-voltage characteristics of metal-insulator- semiconductor device structures were evaluated. © 2011 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.tsf.2011.10.141
  • Sc 2O 3, Er 2O 3, and Y 2O 3 thin films by MOCVD from volatile guanidinate class of rare-earth precursors
    Milanov, A.P. and Xu, K. and Cwik, S. and Parala, H. and De Los Arcos, T. and Becker, H.-W. and Rogalla, D. and Cross, R. and Paul, S. and Devi, A.
    Dalton Transactions 41 (2012)
    Alternative novel precursor chemistries for the vapor phase deposition of rare-earth (RE) oxide thin films were developed by synthesising the homoleptic guanidinate compounds tris(N,N′-diisopropyl-2-dimethylamidoguanidinato)- scandium(iii) [Sc(DPDMG) 3] (1), tris(N,N′-diisopropyl-2- dimethylamidoguanidinato)-erbium(iii), [Er(DPDMG) 3] (2) and tris(N,N′-diisopropyl-2-dimethylamidoguanidinato)-yttrium(iii), [Y(DPDMG) 3] (3). All three compounds are monomeric as revealed by single crystal X-ray diffraction (XRD) analysis, nuclear magnetic resonance (NMR) and electron impact mass spectrometry (EI-MS). The thermal analysis revealed that the compounds are volatile and very stable under evaporation conditions. Therefore the complexes were evaluated as precursors for the growth of Sc 2O 3, Er 2O 3 and Y 2O 3 thin films, respectively, by metal-organic chemical vapor deposition (MOCVD). Uniform Sc 2O 3, Er 2O 3 and Y 2O 3 films on Si(100) substrates with reproducible quality were grown by MOCVD by the combination of the respective guanidinate precursors and oxygen in the temperature range 350-700 °C. The structural, morphological, compositional and electrical properties of the films were investigated in detail. The most relevant film properties are highlighted in relation to the distinct advantages of the novel precursor chemistries in comparison to the commonly used literature known RE precursors. This study shows that compounds 1-3 are very good precursors for MOCVD yielding Sc 2O 3, Er 2O 3 and Y 2O 3 thin films which are stoichiometric and display suitable electrical properties for their potential use as high dielectric constant (high-k) materials. © 2012 The Royal Society of Chemistry.
    view abstract10.1039/c2dt31219k
  • Strain-induced phase transitions in epitaxial NaNbO3 thin films grown by metal-organic chemical vapour deposition
    Schwarzkopf, J. and Schmidbauer, M. and Remmele, T. and Duk, A. and Kwasniewski, A. and Bin Anooz, S. and Devi, A. and Fornari, R.
    Journal of Applied Crystallography 45 (2012)
    Epitaxially strained NaNbO3 films were grown by liquid-delivery spin metal-organic chemical vapour deposition on several oxide substrates, inducing tensile and compressive lattice strain. High-resolution X-ray diffraction measurements reveal that coherently grown compressively strained NaNbO3 films on NdGaO3 exhibit the orthorhombic c phase. With increasing in-plane strain a first structural phase transition to the monoclinic r phase and, further on, for films grown under tensile strain on rare earth scandates, a second phase transition to the aa phase, are observed. Our results are in good agreement with the pathway of phase transitions predicted by Diéguez, Rabe & Vanderbilt [Phys. Rev. B, (2005), 72, 144101] for NaNbO3 films.
    view abstract10.1107/S0021889812035911
  • Surfactant-induced nonhydrolytic synthesis of phase-pure ZrO2 nanoparticles from metal-organic and oxocluster precursors
    Sliem, M.A. and Schmidt, D.A. and Bétard, A. and Kalidindi, S.B. and Gross, S. and Havenith, M. and Devi, A. and Fischer, R.A.
    Chemistry of Materials 24 (2012)
    Nonhydrolytic/non-sol-gel pyrolytic synthesis technique, as a convenient method, was applied to synthesize zirconium oxide nanoparticles (ZrO2 NPs). Pyrolysis of either the mononuclear keto ester/alkoxide complex zirconium bis(isopropoxide)bis(tert-butylacetoacetate) [Zr(OiPr) 2(tbaoac)2] (I) or the oligonuclear oxocluster compound [Zr6(OH)4O4(OMc)12] (II, Mc = methacrylate) generated ZrO2 NPs at moderate conditions of 300-400 °C. Trioctylamine, stearic acid, and/or oleic acid, which act as both solvents and stabilizing agents, were used. Under the adopted process conditions, the stabilizing agent oleic acid plays a vital role in determining the phase of as-synthesized colloidal ZrO2 nanoparticles, which yield the high-temperature tetragonal phase at moderate conditions of 335 °C. Those as-synthesized samples that contained both monoclinic and tetragonal ZrO2 phases (depending on the choice of the surfactant) were transformed into pure tetragonal phase at 1000 °C. An unambiguous phase determination of ZrO2 nanoparticles was carried out by the combination of powder X-ray diffraction (XRD) and Raman spectroscopy. Furthermore, the samples were analyzed by transmission electron microscopy (TEM), ultraviolet-visible (UV-vis) and photoluminescence (PL) spectroscopy, dynamic light scattering (DLS), and Fourier transform infrared (FT-IR) spectroscopy to elucidate the structure, chemical composition, and morphology of the obtained nanoparticles. Also, the phase transformations of the as-synthesized ZrO2 nanoparticles upon annealing were followed via Raman spectroscopy. © 2012 American Chemical Society.
    view abstract10.1021/cm301128a
  • β-Fe2O3 nanomaterials from an iron(ii) diketonate-diamine complex: A study from molecular precursor to growth process
    Barreca, D. and Carraro, G. and Devi, A. and Fois, E. and Gasparotto, A. and Seraglia, R. and MacCato, C. and Sada, C. and Tabacchi, G. and Tondello, E. and Venzo, A. and Winter, M.
    Dalton Transactions 41 (2012)
    Iron oxide is a key multi-functional material in many different fields of modern technology. The β-Fe<inf>2</inf>O<inf>3</inf> cubic phase, one of the least studied Fe-O systems, was obtained by Chemical Vapor Deposition (CVD) using for the first time a Fe(ii) β-diketonate diamine complex, Fe(hfa)<inf>2</inf>·TMEDA, as the molecular source (hfa = 1,1,1,5,5,5-hexafluoro-2,4-pentanedionate; TMEDA = N,N,N′,N′- tetramethylethylenediamine). The strong visible light absorption of β-Fe<inf>2</inf>O<inf>3</inf> deposits highlights their possible functional application in photocatalytic hydrogen production under solar light. A comprehensive investigation on the Fe(ii) complex, performed by a joint experimental-theoretical approach, explains the molecular origin of its excellent thermal behaviour and reveals why this species is a successful precursor for the CVD of iron oxide nanostructures. © The Royal Society of Chemistry.
    view abstract10.1039/c1dt11342a
  • Atomic vapor deposition approach to In 2O 3 thin films
    Hellwig, M. and Parala, H. and Cybinksa, J. and Barreca, D. and Gasparotto, A. and Niermann, B. and Becker, H.-W. and Rogalla, D. and Feydt, J. and Irsen, S. and Mudring, A.-V. and Winter, J. and Fischer, R.A. and Devi, A.
    Journal of Nanoscience and Nanotechnology 11 (2011)
    In 2O 3 thin films were grown by atomic vapor deposition (AVD) on Si(100) and glass substrates from a tris-guanidinate complex of indium [In(NiPr 2guanid) 3] under an oxygen atmosphere. The effects of the growth temperature on the structure, morphology and composition of In 2O 3 films were investigated. X-ray diffraction (XRD) measurements revealed that In 2O 3 films deposited in the temperature range 450-700°C crystallised in the cubic phase. The film morphology, studied by scanning electron microscopy (SEM) and atomic force microscopy (AFM), was strongly dependent on the substrate temperature. Stoichiometric In 2O 3 films were formed under optimised processing conditions as was confirmed by X-ray photoelectron and X-ray excited Auger electron spectroscopies (XPS, XE-AES), as well as by Rutherford backscattering spectrometry (RBS). Finally, optical properties were investigated by photoluminescence (PL) measurements, spectroscopic ellipsometry (SE) and optical absorption. In 2O 3 films grown on glass exhibited excellent transparency (≈90%) in the Visible (Vis) spectral region. Copyright © 2011 American Scientific Publishers All rights reserved.
    view abstract10.1166/jnn.2011.5024
  • Basic investigation of HfO2 based metal-insulator-metal diodes
    Dudek, P. and Schmidt, R. and Lukosius, M. and Lupina, G. and Wenger, C. and Abrutis, A. and Albert, M. and Xu, K. and Devi, A.
    Thin Solid Films 519 (2011)
    Very fast frequency response of metal-insulator-metal (MIM) diodes extends into the terahertz regime making them attractive as key elements as alternative to photovoltaic solar energy harvesting and ultrahigh speed wireless communication systems. The tunnelling phenomena, which is crucial for achieving high performance in these devices is extremely sensitive to the nanoscale structural and chemical quality of interface regions. Modern chemical deposition techniques like Pulsed Injected Metal-Organic Chemical Vapour Deposition (PICVD), Atomic Layer Deposition (ALD) and Atomic Vapour Deposition (AVD®) will be used for the extremely precise growth of thin HfO2 films on TiN bottom electrodes. However, different deposition techniques may give unpredictably different results in terms of film density, surface and interface property and consequently in physical properties of the device. In this work, the influence of deposition techniques on the charge transport characteristics of HfO2 MIM diodes was investigated by Conducting Atomic Force Microscopy (C-AFM) and X-ray Photoelectron Spectroscopy (XPS). © 2010 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.tsf.2010.12.195
  • Evaluation of homoleptic guanidinate and amidinate complexes of gadolinium and dysprosium for MOCVD of rare-earth nitride thin films
    Thiede, T.B. and Krasnopolski, M. and Milanov, A.P. and De Los Arcos, T. and Ney, A. and Becker, H.-W. and Rogalla, D. and Winter, J. and Devi, A. and Fischer, R.A.
    Chemistry of Materials 23 (2011)
    Metal-organic chemical vapor deposition (MOCVD) of thin films of two representative rare-earth nitrides is reported here for the first time. Four homoleptic, all-nitrogen-coordinated, rare-earth (RE) complexes were evaluated as precursors for the respective nitride thin film materials. Two guanidinato complexes [RE{(iPrN)2C(NMe2)}3] [RE = Gd (1), Dy (2)] and two amidinato complexes [RE{(iPrN) 2CMe}3] [RE = Gd (3), Dy (4)] were compared and used either as single source precursors or together with ammonia for MOCVD of gadolinium nitride (GdN) and dysprosium nitride (DyN), respectively. The thermal properties of the precursors were studied and the fragmentation patterns were characterized by high-resolution electron impact-mass spectrometry (HR EI-MS). The obtained nitride films were investigated using a series of techniques, including X-ray diffraction (XRD), scanning electron microscopy (SEM), nuclear reaction analysis (NRA), Rutherford backscattering (RBS), and X-ray photoelectron spectroscopy (XPS). The films contain preferentially oriented grains of fcc-GdN and DyN and are contaminated with small amounts of carbon and oxygen (significantly below 10 at.-% in the best cases). The temperature-dependent magnetic properties of the films, as measured using a superconducting quantum interference device (SQUID), suggest the existence of small ferromagnetic grains of the rare-earth nitrides that exhibit superparamagnetism. Despite the chemical and structural similarity of the guanidinato and amidinato complexes (1-4), a distinctly different behavior as MOCVD precursors was found for 1 and 2, compared with that for 3 and 4. While the guanidinates operate well as single-source precursors (SSPs), the amidinates are not suited at all as SSPs, but give very good nitride films when used in the presence of ammonia. This characteristic behavior was correlated with the different fragmentation mechanisms, as revealed by EI-MS. © 2011 American Chemical Society.
    view abstract10.1021/cm102840v
  • F-doped Co3O4 photocatalysts for sustainable H 2 generation from water/ethanol
    Gasparotto, A. and Barreca, D. and Bekermann, D. and Devi, A. and Fischer, R.A. and Fornasiero, P. and Gombac, V. and Lebedev, O.I. and MacCato, C. and Montini, T. and Van Tendeloo, G. and Tondello, E.
    Journal of the American Chemical Society 133 (2011)
    p-Type Co3O4 nanostructured films are synthesized by a plasma-assisted process and tested in the photocatalytic production of H 2 from water/ethanol solutions under both near-UV and solar irradiation. It is demonstrated that the introduction of fluorine into p-type Co3O4 results in a remarkable performance improvement with respect to the corresponding undoped oxide, highlighting F-doped Co 3O4 films as highly promising systems for hydrogen generation. Notably, the obtained yields were among the best ever reported for similar semiconductor-based photocatalytic processes. © 2011 American Chemical Society.
    view abstract10.1021/ja210078d
  • Growth of epitaxial sodium-bismuth-titanate films by metal-organic chemical vapor phase deposition
    Schwarzkopf, J. and Schmidbauer, M. and Duk, A. and Kwasniewski, A. and Anooz, S.B. and Wagner, G. and Devi, A. and Fornari, R.
    Thin Solid Films 520 (2011)
    The liquid-delivery spin metal-organic chemical vapor phase deposition method was used to grow epitaxial sodium-bismuth-titanate films of the system Bi4Ti3O12 + xNa0.5Bi 0.5TiO3 on SrTiO3(001) substrates. Na(thd), Ti(OiPr)2(thd)2 and Bi(thd)3, solved in toluene, were applied as source materials. Depending on the substrate temperature and the Na/Bi ratio in the gas phase several structural phases of sodium-bismuth-titanate were detected. With increasing temperature and/or Na/Bi ratio, phase transitions from an Aurivillius phase with m = 3 to m = 4 via an interleaved state with m = 3.5, and, finally, to Na0.5Bi 0.5TiO3 with perovskite structure (m = ∞) were established. These phase transitions proceed at remarkably lower temperatures than in ceramics or bulk crystals for which they had been exclusively observed so far. © 2011 Elsevier B.V.
    view abstract10.1016/j.tsf.2011.07.050
  • Influence of Na on the structure of Bi4Ti3O 12 films deposited by liquid-delivery spin MOCVD
    Schwarzkopf, J. and Dirsyte, R. and Devi, A. and Kwasniewski, A. and Schmidbauer, M. and Wagner, G. and Michling, M. and Schmeisser, D. and Fornari, R.
    Thin Solid Films 519 (2011)
    Thin Na-substituted Bi4Ti3O12 films were grown by the liquid-delivery spin metal-organic chemical vapor deposition (MOCVD) method with different concentrations of sodium bis(trimethylsilyl)amide [Na(TMSA)] as Na precursor. At a substrate temperature of 600 °C the original Aurivillius structure was preserved, however high resolution x-ray diffraction (HRXRD) studies indicate that the Na-substituted phase exhibits a slightly smaller lattice parameter compared to the pure Bi4Ti 3O12 phase. From additional x-ray photoemission spectroscopy (XPS) results, we have concluded that monovalent Na+ ions have been incorporated on Bi3+ sites in the perovskite units. The proposed charge compensation for this aliovalent substitution is explained by a shift of the valence state of Bi3+ ions in the vicinity of the incorporated Na+ ions from 3+ to 5+. Due to the small ionic radius of the Bi5+ ions, the incorporation efficiency amounts to a few atomic percent only. © 2010 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.tsf.2010.12.206
  • Malonate complexes of dysprosium: Synthesis, characterization and application for LI-MOCVD of dysprosium containing thin films
    Milanov, A.P. and Seidel, R.W. and Barreca, D. and Gasparotto, A. and Winter, M. and Feydt, J. and Irsen, S. and Becker, H.-W. and Devi, A.
    Dalton Transactions 40 (2011)
    A series of malonate complexes of dysprosium were synthesized as potential metalorganic precursors for Dy containing oxide thin films using chemical vapor deposition (CVD) related techniques. The steric bulkiness of the dialkylmalonato ligand employed was systematically varied and its influence on the resulting structural and physico-chemical properties that is relevant for MOCVD was studied. Single crystal X-ray diffraction analysis revealed that the five homoleptic tris-malonato Dy complexes (1-5) are dimers with distorted square-face bicapped trigonal-prismatic geometry and a coordination number of eight. In an attempt to decrease the nuclearity and increase the solubility of the complexes in various solvents, the focus was to react these dimeric complexes with Lewis bases such as 2,2′-biypridyl and pyridine (6-9). This resulted in monomeric tris-malonato mono Lewis base adduct complexes with improved thermal properties. Finally considering the ease of synthesis, the monomeric nature and promising thermal characteristics, the silymalonate adduct complex [Dy(dsml)3bipy] (8) was selected as single source precursor for growing DySixOy thin films by liquid injection metalorganic chemical vapor deposition (LI-MOCVD) process. The as-deposited films were analyzed for their morphology and composition by scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, Rutherford backscattering (RBS) analysis and X-ray photoelectron spectroscopy. © 2011 The Royal Society of Chemistry.
    view abstract10.1039/c0dt00455c
  • MOCVD of ZnO films from bis(ketoiminato)Zn(II) precursors: Structure, morphology and optical properties
    Bekermann, D. and Ludwig, Ar. and Toader, T. and MacCato, C. and Barreca, D. and Gasparotto, A. and Bock, C. and Wieck, A.D. and Kunze, U. and Tondello, E. and Fischer, R.A. and Devi, A.
    Chemical Vapor Deposition 17 (2011)
    Two closely related bis(ketoiminato) zinc precursors, which are air stable and possess favorable properties for metal-organic (MO)CVD, are successfully employed for the growth of ZnO films on silicon and borosilicate glass substrates at temperatures between 400 and 700 °C. The as-deposited films are investigated by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), nuclear reaction analysis (NRA), as well as by UV-vis absorption spectroscopy and photoluminescence (PL) measurements. The structure, morphology, and composition of the as-grown films show a strong dependence on the substrate temperature. The formation of pure and (001)-oriented wurtzite-type stoichiometric ZnO is observed. PL measurements are performed both at room temperature and 77 K, revealing a defect-free emission of ZnO films. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
    view abstract10.1002/cvde.201006898
  • p-Co3O4/n-ZnO, Obtained by PECVD, Analyzed by X-ray Photoelectron Spectroscopy
    Bekermann, D. and Gasparotto, A. and Barreca, D. and Devi, A. and Fischer, R.A.
    Surface Science Spectra 18 (2011)
    The present work focuses on the X-ray Photoelectron Spectroscopy (XPS) and X-ray Excited Auger Electron Spectroscopy (XE-AES) of a Co3O4/ZnO nanosystem. The composite material was obtained via a two-step Plasma Enhanced-Chemical Vapor Deposition (PECVD) process in Ar/O2 mixtures, consisting in the initial deposition of ZnO and the subsequent growth of Co3O4 onto the pristine matrices. Zn(ketoimi)2 (ketoimi = [CH3O(CH2)3NC(CH3)=C(H)C(CH3)=O]) and Co(dpm)2 (dpm = 2,2,6,6-tetramethyl-3,5-heptanedionate) were used as zinc and cobalt precursors, respectively. In particular, strongly 〈001〉 oriented ZnO was grown at 300 °C, followed by the deposition of Co3O4 at 200 °C, applying a radio-frequency (RF) power of 20 W. Structural, morphological and compositional investigations were performed by Glancing Incidence X-ray Diffraction (GIXRD), Field Emission-Scanning Electron Microscopy (FE-SEM) and Energy Dispersive X-ray Spectroscopy (EDXS). Surface XPS and XE-AES analyses were carried out to study in detail the system O 1s, Zn 2p3/2, Zn 3p and Co 2p core levels, as well as the Zn and Co Auger peaks. The obtained results evidenced the formation of a composite material, in which ZnO and Co3O4 preserved their chemical identity. © 2011 American Vacuum Society.
    view abstract10.1116/11.20111003
  • Plasma enhanced-CVD of undoped and fluorine-doped Co3O 4 nanosystems for novel gas sensors
    Barreca, D. and Bekermann, D. and Comini, E. and Devi, A. and Fischer, R.A. and Gasparotto, A. and Gavagnin, M. and MacCato, C. and Sada, C. and Sberveglieri, G. and Tondello, E.
    Sensors and Actuators, B: Chemical 160 (2011)
    Co3O4-based nanosystems were prepared on polycrystalline Al2O3 by plasma enhanced-chemical vapor deposition (PE-CVD), at temperatures ranging between 200 and 400 °C. The use of two different precursors, Co(dpm)2 (dpm = 2,2,6,6-tetramethyl-3, 5-heptanedionate) and Co(hfa)2·TMEDA (hfa = 1,1,1,5,5,5-hexafluoro-2,4-pentanedionate; TMEDA = N,N,N′,N′- tetramethylethylenediamine) enabled the synthesis of undoped and fluorine-doped Co3O4 specimens, respectively. A thorough characterization of their properties was performed by glancing incidence X-ray diffraction (GIXRD), atomic force microscopy (AFM), field emission-scanning electron microscopy (FE-SEM), secondary ion mass spectrometry (SIMS) and X-ray photoelectron spectroscopy (XPS). For the first time, the gas sensing properties of such PE-CVD nanosystems were investigated in the detection of ethanol and acetone. The results show an appreciable response improvement upon doping and functional performances directly dependent on the fluorine content in the Co3O4 system. © 2011 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.snb.2011.07.016
  • Plasma processing of nanomaterials: Emerging technologies for sensing and energy applications
    Gasparotto, A. and Barreca, D. and Bekermann, D. and Devi, A. and Fischer, R.A. and MacCato, C. and Tondello, E.
    Journal of Nanoscience and Nanotechnology 11 (2011)
    Plasma processing represents an attractive and versatile option for the fabrication of low-dimensional nanomaterials, whose chemical and physical properties can be conveniently tailored for the development of advanced technologies. In particular, Plasma Enhanced-Chemical Vapor Deposition (PE-CVD) is an appealing route to multi-functional oxide nanoarchitectures under relatively mild conditions, owing to the unique features and activation mechanisms of non-equilibrium plasmas. In this context, the potential of plasma-assisted fabrication in advanced nanosystem development is discussed. After a brief introduction on the basic categories of plasma approaches, the perspectives of application to CVD processes are commented, reporting on the growth and characterization of Co 3O 4 nanomaterials as a case study. Besides examining the interrelations between the material properties and the synthesis conditions, special focus is given to their emerging applications as catalysts for photo-assisted hydrogen production and solid state gas sensors. Copyright © 2011 American Scientific Publishers All rights reserved.
    view abstract10.1166/jnn.2011.5023
  • Plasma-assisted synthesis of Ag/ZnO nanocomposites: First example of photo-induced H2 production and sensing
    Simon, Q. and Barreca, D. and Bekermann, D. and Gasparotto, A. and MacCato, C. and Comini, E. and Gombac, V. and Fornasiero, P. and Lebedev, O.I. and Turner, S. and Devi, A. and Fischer, R.A. and Van Tendeloo, G.
    International Journal of Hydrogen Energy 36 (2011)
    Ag/ZnO nanocomposites were developed by a plasma-assisted approach. The adopted strategy exploits the advantages of Plasma Enhanced-Chemical Vapor Deposition (PE-CVD) for the growth of columnar ZnO arrays on Si(100) and Al 2O3 substrates, in synergy with the infiltration power of the Radio Frequency (RF)-sputtering technique for the subsequent dispersion of different amounts of Ag nanoparticles (NPs). The resulting composites, both as-prepared and after annealing in air, were thoroughly characterized with particular attention on their morphological organization, structure and composition. For the first time, the above systems have been used as catalysts in the production of hydrogen by photo-reforming of alcoholic solutions, yielding a stable H2 evolution even by the sole use of simulated solar radiation. In addition, Ag/ZnO nanocomposites presented an excellent response in the gas-phase detection of H2, opening attractive perspectives for advanced technological applications. © 2011, Hydrogen Energy Publications, LLC. Published by Elsevier Ltd. All rights reserved.
    view abstract10.1016/j.ijhydene.2011.09.045
  • Strongly oriented Co3O4 thin films on MgO(100) and MgAl2O4(100) substrates by PE-CVD
    Barreca, D. and Devi, A. and Fischer, R.A. and Bekermann, D. and Gasparotto, A. and Gavagnin, M. and MacCato, C. and Tondello, E. and Bontempi, E. and Depero, L.E. and Sada, C.
    CrystEngComm 13 (2011)
    Co3O4 thin films were grown on MgO(100) and MgAl 2O4(100) by plasma enhanced-chemical vapor deposition (PE-CVD) from the [Co(dpm)2] precursor. Depositions on both single crystal substrates were performed from Ar/O2 plasmas at temperatures between 100 and 400 °C in order to tailor the chemical and physical properties of the synthesized films. The composition, morphology and structure of the Co3O4 systems were thoroughly analyzed by XPS, SIMS, FE-SEM and bidimensional XRD. The obtained results evidenced the formation of high-purity and strongly oriented Co3O4 thin films, with features dependent on the used substrate and the adopted growth temperature. © 2011 The Royal Society of Chemistry.
    view abstract10.1039/c1ce05280b
  • 1D ZnO nano-assemblies by Plasma-CVD as chemical sensors for flammable and toxic gases
    Barreca, D. and Bekermann, D. and Comini, E. and Devi, A. and Fischer, R.A. and Gasparotto, A. and MacCato, C. and Sberveglieri, G. and Tondello, E.
    Sensors and Actuators, B: Chemical 149 (2010)
    In this work, 1D ZnO nano-assemblies were prepared on Al2O 3 substrates by plasma enhanced-chemical vapor deposition (PE-CVD), and characterized in their morphology and chemical composition by field emission-scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDXS) and X-ray photoelectron spectroscopy (XPS). For the first time, the sensing performances of PE-CVD ZnO nanosystems were tested in the detection of toxic/combustible gases (CO, H2 and CH4), revealing very good responses already at moderate working temperatures. In particular, carbon monoxide and hydrogen detection was possible already at 100 °C, whereas methane sensing required a minimum temperature of 200 °C. The performances of the present ZnO nanosystems, that make them attractive candidates for technological applications, are presented and discussed in terms of their unique and controllable morphological organization. © 2010 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.snb.2010.06.048
  • All-nitrogen coordinated amidinato/imido complexes of molybdenum and tungsten: Syntheses and characterization
    Gwildies, V. and Thiede, T.B. and Amirjalayer, S. and Alsamman, L. and Devi, A. and Fischer, R.A.
    Inorganic Chemistry 49 (2010)
    The first all-nitrogen coordinated bis(alkylamidinato)/bis(alkylimido) complexes of molybdenum and tungsten, [Mo(NtBu)2{(iPrN) 2CMe}2]and [W(NtBu)2{(iPrN)2CMe} 2], have been synthesized and fully characterized by 1H and 13C NMR spectroscopy, elemental analyses, high-resolution electron impact mass spectrometry, and Fourier transform infrared spectroscopy. Density functional theory calculations of the tungsten complex allow for geometry optimization and structural characterization by assignment of the NMR data, in particular a comparison of the experimental 13C NMR signals with the calculated ones. Both compounds sublime without decomposition at 130 °C and 1 mTorr and show rapid decomposition above 250 °C, hence representing promising vapor-phase deposition routes for metal nitride based thin-film materials. © 2010 American Chemical Society.
    view abstract10.1021/ic101060s
  • Depositions of SrRuO3 thin films on oxide substrates with liquid-delivery spin MOCVD
    Schwarzkopf, J. and Dirsyte, R. and Devi, A. and Schmidbauer, M. and Wagner, G. and Fornari, R.
    Thin Solid Films 518 (2010)
    Systematic variations of the deposition conditions for thin epitaxial SrRuO3 films with a liquid-delivery spin MOCVD were performed in order to get a detailed understanding of the physical and chemical issues in the growth process. We have observed that at very low as well as at high growth rates the structural ordering of the films and the lattice strain is low, while for optimized conditions (∼ 0.14-0.2 nm/min) films can be grown under high compressive strain on SrTiO3 and under tensile strain on DyScO 3, showing an electrical resistivity of ∼ 250 μΩcm. Films on NdGaO3 are nearly totally plastically relaxed. In contrast to PLD, step-flow growth could not be detected due to significantly higher carbon incorporation. © 2009 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.tsf.2009.12.057
  • Downscaling of defect-passivated Gd2O3 thin films on p-Si(0 0 1) wafers grown by H2O-assisted atomic layer deposition
    Ranjith, R. and Laha, A. and Bugiel, E. and Osten, H.J. and Xu, K. and Milanov, A.P. and Devi, A.
    Semiconductor Science and Technology 25 (2010)
    Crystalline thin films of Gd2O3 of varying thicknesses were grown on 2 inch p-Si(1 0 0) wafers by H2O-assisted atomic layer deposition (ALD) using a homoleptic gadolinium tris-guanidinate precursor [Gd(iPr-Me2N-Guan)3]. The Gd 2O3 layers grown at 225 °C were polycrystalline with columnar growth morphology. The as-grown films were electrically characterized as a metal oxide semiconductor (MOS) capacitor and exhibited instability in the flat-band voltage. Upon subjection to post-deposition defect-passivation treatment, they exhibited promising electrical characteristics. More importantly, the vertical downscaling of Gd2O3 thin films through the H2O-assisted ALD process could be realized through the capacitance equivalent thickness versus physical thickness studies. © 2010 IOP Publishing Ltd.
    view abstract10.1088/0268-1242/25/10/105001
  • Engineered Tungsten Oxy-Nitride Thin Film Materials for Photocatalytical Water Splitting Fabricated by MOCVD
    Cwik, S. and Milanov, A. P. and Gwildies, V. and Thiede, T. B. and Vidyarthi, V. S. and Savan, A. and Meyer, R. and Becker, H. W. and Rogalla, D. and Ludwig, Al. and Fischer, R. A. and Devi, A.
    Advanced Organic and Inorganic Materials for Electrochemical Power Sources 28 (2010)
    The growth of tungsten oxynitride thin films by metalorganic chemical vapor deposition (MOCVD) was achieved using an all nitrogen coordinated tungsten imido-amidinato precursor in the presence of oxygen as the reactive gas. In particular the influence of CVD process parameters on the structure, morphology and composition of the films was studied by scanning electron microscopy (SEM), Rutherford backscattering spectroscopy (RBS), nuclear reaction analysis (NRA) and X-ray diffraction XRD. It was possible to tune the content of the nitrogen of the films which could be an advantage in terms of functional properties of the WO3 based materials.
    view abstract10.1149/1.3490695
  • Growth and characterization of ti-ta-o thin films on si substrates by liquid injection MOCVD for high-k applications from modified titanium and tantalum precursors
    Devi, A. and Hellwig, M. and Barreca, D. and Parala, H. and Thomas, R. and Becker, H.-W. and Katiyar, R.S. and Fischer, R.A. and Tondello, E.
    Chemical Vapor Deposition 16 (2010)
    Titanium oxide (TiO2) and titanium-tantalum oxide (Ti-Ta-O) thin films are deposited by liquid injection (LI) metal-organic (MO) CVD using metal amide-malonate complexes, [Ti(NR2)2 (dbml) 2], and tantalum, [Ta(NMe2)2 (dbml)] (R Me, Et; dbml di-tert-butylmalonato). TiO2 and Ti-Ta-O films are deposited on Si(100) in the temperature ranges 350-650°C and 500-700°C, respectively. The structure, morphology, and chemical composition of the films are evaluated by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), Rutherford backscattering spectroscopy (RBS), and X-ray photoelectron spectroscopy (XPS). The electrical properties of the films, namely the dielectric properties, are assessed by carrying out capacitance-voltage (C-V) measurements on metal-oxide-semiconductor (MOS) capacitor structures.
    view abstract10.1002/cvde.200906813
  • Growth of crystalline Gd2O3 thin films with a high-quality interface on Si(100) by low-temperature H2O-assisted atomic layer deposition
    Milanov, A.P. and Xu, K. and Laha, A. and Bugiel, E. and Ranjith, R. and Schwendt, D. and Osten, H.J. and Parala, H. and Fischer, R.A. and Devi, A.
    Journal of the American Chemical Society 132 (2010)
    (Figure Presented) This work documents the first example of deposition of high-quality Gd2O3 thin films in a surface-controlled, self-limiting manner by a water-based atomic layer deposition (ALD) process using the engineered homoleptic gadolinium guanidinate precursor [Gd(DPDMG) 3]. The potential of this class of compound is demonstrated in terms of a true ALD process, exhibiting pronounced growth rates, a high-quality interface between the film and the substrate without the need for any additional surface treatment prior to the film deposition, and most importantly, encouraging electrical properties. © 2010 American Chemical Society.
    view abstract10.1021/ja909102j
  • Highly oriented ZnO nanorod arrays by a novel plasma chemical vapor deposition process
    Bekermann, D. and Gasparotto, A. and Barreca, D. and Bovo, L. and Devi, A. and Fischer, R.A. and Lebedev, O.I. and MacCato, C. and Tondello, E. and Van Tendeloo, G.
    Crystal Growth and Design 10 (2010)
    Strongly c-axis oriented ZnO nanorod arrays were grown on Si(100) by plasma enhanced-chemical vapor deposition (PE-CVD) starting from two volatile bis(ketoiminato) zinc(II) compounds Zn[(R′)NC(CH3)-C(H) C(CH3)-O]2, with R′ = -(CH2) xOCH3 (x = 2, 3). A systematic investigation of process parameters enabled us to obtain the selective formation of ZnO nanorods with tailored features, and provided an important insight into their growth mechanism. The morphology, structure, and composition of the synthesized ZnO nanosystems were thoroughly analyzed by field emission-scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDXS), glancing incidence X-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), and transmission electron microscopy (TEM). Photoluminescence (PL) measurements were carried out to gain information on the optical properties. Specifically, one-dimensional (1D) ZnO architectures could be grown on Si(100) substrates at temperatures as low as 200'300 C and radio frequency (RF)-power values of 20 W, provided that a sufficiently high mass supply to the growth surface was maintained. To the best of our knowledge, the present work reports the mildest preparation conditions ever appeared in the literature for the PE-CVD of ZnO nanorods, a key result in view of potential large-scale technological applications. © 2010 American Chemical Society.
    view abstract10.1021/cg1002012
  • Liquid injection MOCVD grown binary oxides and ternary rare-earth oxide as alternate gate-oxides for logic devices
    Thomas, R. and Ehrhart, P. and Waser, R. and Schubert, J. and Devi, A. and Katiyar, R.S.
    ECS Transactions 33 (2010)
    ZrO2, HfO2 and DyScO3 thin films having thickness in the range 2-20 nm were grown on SiOx/Si(100) substrates in a multi-wafer planetary MOCVD reactor combined with a liquid delivery system using engineered precursors. Growth rate, surface morphology, crystal structure, crystal density of the as-deposited films were analysed as a function of deposition temperature. The influence of post deposition annealing on the densification and crystallization was studied. Electrical properties of MIS capacitor structures are also discussed. Results on the optimised gate stack of Pt/ZrO2/SiOx/Si, Pt/HfO2/SiOx/Si, Pt/DyScO3/SiOx/Si are finally compared; and DyScO 3 seems to be promising high-k material candidate compared to Group-IVB oxides for the coming technology nodes. ©The Electrochemical Society.
    view abstract10.1149/1.3481608
  • Novel insight into the alignment and structural ordering of supported ZnO nanorods
    Barreca, D. and Bekermann, D. and Devi, A. and Fischer, R.A. and Gasparotto, A. and MacCato, C. and Tondello, E. and Rossi, M. and Orlanducci, S. and Terranova, M.L.
    Chemical Physics Letters 500 (2010)
    Supported ZnO nanorods with a different degree of preferential orientation and tunable morphological features were grown on Si(1 0 0) by plasma enhanced-chemical vapor deposition. The combined investigation of the synthesized arrays by RHEED and FE-SEM enabled a thorough insight into their structural properties and growth mechanism, whose control is a key issue in view of potential technological applications. © 2010 Elsevier B.V. All rights reserved.
    view abstract10.1016/j.cplett.2010.10.030
  • Urchin-like ZnO nanorod arrays for gas sensing applications
    Barreca, D. and Bekermann, D. and Comini, E. and Devi, A. and Fischer, R.A. and Gasparotto, A. and MacCato, C. and Sada, C. and Sberveglieri, G. and Tondello, E.
    CrystEngComm 12 (2010)
    ZnO nanorod assemblies were grown by plasma-enhanced chemical vapor deposition on polycrystalline Al2O3 at 200-300 °C, resulting in urchin-like 1-D ZnO NR arrays with a strong c-axis orientation. Their outstanding gas sensing responses and very low detection limits highlight the potential of the present systems in the production of high efficiency chemical sensors for a variety of applications. © 2010 The Royal Society of Chemistry.
    view abstract10.1039/c0ce00139b
  • Volatile, monomeric, and fluorine-free precursors for the metal organic chemical vapor deposition of zinc oxide
    Bekermann, D. and Rogalla, D. and Becker, H.-W. and Winter, M. and Fischer, R.A. and Devi, A.
    European Journal of Inorganic Chemistry (2010)
    Two new bis(ketoiminato)zinc(II) compounds that show excellent precursor properties for the chemical vapor deposition (CVD) of zinc oxide materials are presented. The synthesis of the ketoiminato zinc complexes [Zn{[(CH 2)x-OCH3]NC(CH3)=C(H)C(CH 3)=O}2] (1: x = 2; 2: x = 3) is straightforward and can easily be scaled up. Compounds 1 and 2 were analyzed by 1H and 13C NMR spectroscopy, elemental analysis, single-crystal X-ray diffraction analysis, and electron ionization mass spectrometry. The compounds exist as monomers with a distorted tetrahedral zinc center. Thermogravimetric studies, sublimation, and solubility tests reveal very promising properties for metal-organic CVD related applications. Preliminary metal-organic CVD experiments with the use of compound 1 were performed as a screening for the suitability of the new bis(ketoiminato)zinc complexes as precursors for the growth of ZnO thin films in the presence of oxygen. The films were characterized by X-ray diffraction, scanning electron microscopy, energy dispersive analysis of X-ray, and Rutherford backscattering measurements. The as-deposited ZnO films were stoichiometric; the crystalline films exhibited strong preferred orientation along the c-axis. © 2010 Wiley-VCH Verlag GmbH & Co. KGaA.
    view abstract10.1002/ejic.200901037
  • ZnO nanorod arrays by plasma-enhanced CVD for light-activated functional applications
    Bekermann, D. and Gasparotto, A. and Barreca, D. and Devi, A. and Fischer, R.A. and Kete, M. and Štangar, U.L. and Lebedev, O.I. and Maccato, C. and Tondello, E. and van Tendeloo, G.
    ChemPhysChem 11 (2010)
    view abstract10.1002/cphc.201000333
  • atomic force microscopy

  • atomic layer deposition

  • chemical vapor deposition

  • oxide films

  • precursors

  • scanning electron microscopy

  • x-ray diffraction

  • x-ray photoelectron spectroscopy

« back